Vous êtes sur la page 1sur 27

Page

Examen National du Brevet de Technicien Supérieur


Session de Mai 2021
1
- Sujet - 27

Filière : Systèmes Electroniques - SE - Durée : 4 Heures

Épreuve : Electronique Coefficient : 35

Véhicules à guidage automatique

Les véhicules à guidage automatique ou en anglais Automatic Guided Vehicles (AGV) sont des robots
mobiles guidés par des marquages au sol, par des rayons lasers ou utilisant des systèmes de vision. Ils sont de
plus en plus employés dans des usines ou des entrepôts pour déplacer des charges.

Figure 1 : Image d’un robot à guidage automatique.

PRÉSENTATION DU MATÉRIEL
L’architecture matérielle du robot est présentée sur la figure 2. Elle est composée de :
➢ Un système de communication sans fil (RF et WIFI) pour pouvoir communiquer avec la salle de contrôle
et de supervision.
➢ Un système de pilotage automatique qui permet de réguler la vitesse des moteurs, à l’aide des codeurs
incrémentales. Il permet également de suivre les indications au sol par des capteurs Infra-Rouge IR.
➢ Un système de détection d’obstacle qui sert à mesurer la distance par rapport aux éléments de son
environnement à travers des capteurs de distance à Ultrasons. Il utilise également des capteurs à contacts
mécaniques pour détecter les chocs.
➢ Un système de levage qui permet de soulever et de mesurer le poids des charges à transporter par le robot.
➢ Un système de localisation qui permet au robot de connaitre sa position absolue sur le terrain, par
l’utilisation d’un capteur gyroscopique, d’une boussole, et de détecteurs de balises IR.
➢ Un système de gestion d’énergie qui assure la régulation de charge des batteries Lithium, en mesurant la
température, la tension et le courant.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
2
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
La communication interne du robot s’effectue à travers un bus de communication qui exploite le protocole
CAN.
L’architecture matérielle de ce système est présentée sur la figure 2 :

Salle de contrôle et
supervision

Système de contrôle
et communication
RF, WIFI.

Bus CAN
Système de localisation Système de détection
de la position : des obstacles : contact,
Gyroscope, balises, ultrason, laser, vision,
position absolue Alarme,

Système de pilotage : Système de levage :


calculateur, Hacheur, Capteur poids, capteur
Moteurs, mesure vitesse, Température, Moteur de
accélération, suiveur de levage
ligne.

Système de gestion
d’énergie : régulateur
de charge, mesure
température, courant,
tension, batterie

Figure 2 : schéma bloc d’un robot à guidage automatique


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
3
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Partie I : Système de pilotage


La figure 3 représente une description du système de pilotage du robot.

Figure 3 : schéma bloc du système de pilotage du robot


Une carte à base de PIC est utilisée pour assurer la régulation de vitesse des 2 moteurs du robot.
La figure 4 représente le schéma électronique de cette carte.

Figure 4 : Schéma électronique de la carte d’asservissement numérique des moteurs

1. Conditionnement du capteur de vitesse


La motorisation du robot est assurée par deux moteurs à courant continu commandés par des hacheurs à quatre
quadrants. Pour déterminer la vitesse de rotation des moteurs, le PIC mesure la période du signal rectangulaire,
reçu d’un capteur placé sur l’arbre du moteur (figure 5).
Au niveau mécanique, pour une roue, le système de mesure est constitué d’un disque et deux capteurs
optiques à fourche décalés par rapport au disque. Ces deux capteurs vont nous permettre d’obtenir 2 signaux
carrés (A et B) de fréquence proportionnelle à la vitesse. Le décalage entre ces deux signaux nous permet de
déterminer le sens de rotation.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
4
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Figure 5 : Position des capteurs optiques sur le disque à 16 trous


Le montage de conditionnement d’un seul capteur optique est constitué de deux étages (figure 6) :

Ic

IF

Figure 6 : Schéma de mesure


La documentation du capteur à fourche KTIR0611S est donnée en ANNEXE 1.
La LED du capteur à fourche est parcourue par un courant IF de 20 mA, ce qui permet de générer une
lumière infrarouge. Celle-ci est captée par le phototransistor à travers les trous sur le disque.
L’alimentation Vcc du montage est de 5V. L’amplificateur opérationnel est supposé parfait.
Q1. A partir du document constructeur ANNEXE 1, donner la valeur typique de la tension VF. En déduire
la valeur de R1.
Q2. A partir du document constructeur ANNEXE 1, déterminer la valeur de Ic qui correspond à IF=20mA.
Q3. Calculer la valeur de la résistance R2, sachant que Vcesat=0V.
Q4. Sachant que R3 = R4, exprimer la tension V1 en fonction de Vout et de VREF.
Q5. Préciser le régime de fonctionnement de l’amplificateur opérationnel IC1A. En déduire les valeurs
possibles de Vout.
Q6. Pour VREF = Vcc/2, déterminer les valeurs des deux seuils V1L (seuil bas) et V1H (seuil haut) de la tension
V1.
Q7. Sur le document réponse DR1, tracer l’allure de la tension Vout(t) en concordance avec la tension Vco(t).
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
5
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
2. Mesure de la vitesse d’un moteur
Le capteur de vitesse utilisé est de résolution N=16 et permet donc d’envoyer 16 impulsions par tour de
rotation du moteur.
F
La vitesse de rotation sera calculée par la formule : Vitesse = 𝟏𝟔 ∗ 60 en tr/mn avec F est la fréquence du

signal à la sortie du capteur.


Le PIC16F876 est doté d'un compteur 16 bits appelé TMR1 (voir ANNEXE 2). La figure 7 donne une
présentation du compteur TMR1 :

Figure 7 : Schéma blocs du TMR1


Q8. Sur le document réponse DR1. Déterminer la valeur binaire, et hexadécimale à mettre dans le registre
T1CON pour activer l’interface TMR1 avec une horloge interne fosc/4 et un prédiviseur de 4.
Q9. Calculer la période TTMR1 du TMR1, sachant que la fréquence du Quartz est de 16 Mhz.

La figure 8 donne le schéma bloc du module CCP1 en mode Capture.

Figure 8 : Schéma bloc du CCP1

Q10. Sur le document réponse DR1, Déterminer la valeur binaire, et hexadécimale à mettre dans CCP1CON
pour activer le mode capture sur chaque front montant du signal d’entrée.

La mesure de la période T d’un signal à l’entrée CCP1, se fait selon le principe suivant :
T
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
6
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
A chaque front montant du signal sur l’entrée RC2/CCP1, la valeur de TMR1 est copiée dans le registre
CCPR1.
La fonction mesure_vitesse() permet de copier la valeur de CCPR1 dans la variable front1 à la détection
du 1er front, puis dans la variable front2 à la détection du 2ème front, et de calculer ensuite la valeur de la période
T et celle de la vitesse.
Q11. Sur le document réponse DR1, Compléter la fonction float mesure_vitesse() qui doit gérer l’interruption
TMR1, en respectant l’algorithme suivant :
- Remise à zero du flag CCP1IF (bit 2 du registre PIR1) ;
- Attendre jusqu’à ce que CCP1IF passe à 1 ;
- Mémoriser CCPR1 dans la variable front1
- Remise à zero du flag CCP1IF (bit 2 du registre PIR1) ;
- Attendre jusqu’à ce que CCP1IF passe à 1 ;
- Mémoriser CCPR1 dans la variable front2
- Calcul de : T = front2 – front1 ;
1
- Calcul de fréquence = 𝑇 * 106

- Calcul de vitesse ;
- Retour de la valeur de vitesse ;

Dans le cas de faibles vitesses du moteur, la durée de la période est très grande, ce qui générera des
débordements de comptage du TMR1.
Un débordement du TMR1 correspond à une durée égale au modulo du compteur (216 pour le compteur
TMR1 de 16bits) ce qui correspond à une durée de 65536 µs.
Pour le calcul de la période T, Il faut donc prendre en considération le nombre de débordement du TMR1.
Q12. Déterminer la nouvelle expression de la période T du signal à l’entrée CCP1 en fonction de front1,
front2, et de nbDebordement.

Pour compter le nombre de débordement, l’interruption TMR1IE doit être activé, en mettant les bits PEIE
et GIE du registre INTCON à 1, ainsi que le bit TMR1IE du registre PIE1.
Q13. Sur le document réponse DR2, Déterminer la valeur binaire, et hexadécimale à mettre dans INTCON
et PIE1.
Q14. Sur le document réponse DR2, compléter la fonction void interrupt() qui permet d’incrémenter la
variable nbDebordement, en respectant l’algorithme suivant :
Si le flag TMR1IF (bit 0 du registre PIR1) est à 1 alors :
- Incrémenter nbDebordement ;
- Mettre à 0 le flag TMR1IF.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
7
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Partie II : Système de détection des obstacles (Conception VHDL)


Le système de détection des obstacles est équipé de plusieurs capteurs ultrasons dirigés vers toutes les
directions.
Le module ultrason de référence HC-SR04 est utilisé pour transmettre un signal (de fréquence 40KHz,
appelé BURST). En présence d’un obstacle, un echo du signal BURST sera détecté par le transducteur de
réception.

Figure 9 : Principe de fonctionnement du module HC-SR04

Ce module fournit une impulsion ECHO de durée T proportionnel à la distance qui sépare l’obstacle du
robot. Un circuit FPGA (Circuit_Mesure_T) est utilisé pour fournir une valeur numérique N proportionnelle à la
distance, en comptant le nombre d’impulsion CLK_1MHz (de durée 1us) pendant la durée T de l’impulsion
ECHO.

QC QR
EN

Figure 10 : Circuit de mesure de distance


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
8
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Le compteur de 16 bits permet de compter les impulsions de l’entrée CLK lorsque EN = 1. Il sera remis
à zéro lorsque l’entrée R=1.
Q15.Sur le document réponse DR2, Compléter l’entité du compteur binaire de 16bits.
Q16.Sur le document réponse DR2, Compléter l’architecture du compteur binaire de 16bits.

A chaque front descendant de H, le registre parallèle/parallèle de 16 bits permet d’affecter à la sortie QR


le contenu de l’entrée D.
Q17.Sur le document réponse DR3, Compléter l’entité du registre parallèle/parallèle de 16bits.
Q18.Sur le document réponse DR3, Compléter l’architecture du registre parallèle/parallèle de 16bits.

Le circuit Circuit_Mesure_T utilise les composants compteur et registre pour fournir la valeur numérique N
Q19.Sur le document réponse DR3, Compléter l’entité du Circuit_Mesure_T.
Q20.Sur le document réponse DR3, Compléter l’architecture du Circuit_Mesure_T.

Partie III : Système de gestion d’énergie


1. Communication par bus I2C

Le système de gestion d’énergie utilise un ensemble de capteurs (température, tension et courant) pour
réguler la charge de la batterie.
Le convertisseur analogique numérique (PCF8591P) transmet au microcontrôleur, les données issues des
capteurs via le bus I2C.
Le schéma bloc fonctionnel du système est illustré sur la figure 11 :

Figure 11 : Schéma bloc fonctionnel du système de gestion d’énergie


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
9
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
On donne sur la figure 12, le schéma structurel du système de gestion de l’énergie :

5V

5V

Figure 12 : Schéma structurel du système de gestion de l’énergie

Le document technique du circuit PCF8591P est donné en ANNEXE 4.


Q21. Sur le document réponse DR4, compléter le tableau de caractéristiques du convertisseur PCF8591P.
Q22. Déterminer l’expression et la valeur du quantum q.
Q23. Sur le document réponse DR4, donner la valeur numérique N pour une tension d’entrée du convertisseur
de 3V.
Q24. Déterminer l’adresse I2C en hexadécimal de l’esclave PCF8591P en mode écriture et en mode lecture.
Q25. Sur le document réponse DR4, Déterminer la valeur binaire et hexadécimale du mot de configuration
(control Byte) permettant de :
- Choisir le canal 0
- Activer l’auto incrémentation du canal (auto-increment flag)
- Choisir les 4 canaux en entrée simple (four single-ended inputs).
Q26. Sur le document réponse DR4, Compléter la trame (I2C) d’écriture du mot de configuration (valeur
déterminée en Q25).

On dispose des fonctions de communication I2C suivantes :


- void I2C_Start () : permet d’envoyer un start sur le bus I2C ;
- void I2C_Stop () : permet d’envoyer un stop sur le bus I2C ;
- char I2C_Write (char d) : permet d’envoyer la valeur d vers l’esclave , et de retourner la valeur du
l’ACK.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
10
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Q27. Sur le document réponse DR4, Compléter la fonction void write_Config(char c) qui permet d’envoyer
le mot de configuration à l’esclave, selon les étapes suivantes :
- Envoyer la condition start ;
- Ecrire l’adresse de l’esclave et retour de la valeur ACK;
- Si ACK=0 alors Ecrire le mot de configuration ;
- Envoyer la condition stop.

2. Etude de la liaison RS232


Les valeurs numériques correspondantes aux trois capteurs (température, tension et courant), sont
envoyées par le module USART du PIC vers l’interface bus CAN.
La documentation du module USART du PIC16F877 est donnée en ANNEXE 3.
Les paramètres de configuration pour l’interface USART du PIC16f877 sont comme suit :
8 bits de données, sans parité, 1bit de stop, vitesse de transmission 19200 bauds.
Q28. Sur le document réponse DR4, déterminer la valeur binaire, et hexadécimale à mettre dans TXSTA, et
RCSTA pour :
Activer l’interface série du PIC ;
Activer la transmission et la réception série asynchrone ;
Choisir la vitesse HighSpeed (BRGH=1).
Q29. Calculer la valeur hexadécimale à mettre dans le registre SPBRG.
Q30. Sur le document réponse DR5, tracer le chronogramme (niveaux TTL) correspondant à l’émission
consécutive des trois valeurs suivantes : 0x19, 0x0C et 0x7A.
L’ANNEXE 3 donne le jeu d’instruction du PIC
Q31. Sur le document réponse DR5, compléter l’extrait du programme assembleur qui permet l’envoie du
caractère 0x19.

Partie IV. Analyse de la communication inter-systèmes du robot – Protocole CAN


Le bus CAN est un bus série multiplexé qui permet aux différents systèmes (nœuds) de s’échanger les
informations.
Tous les nœuds connectés au bus peuvent être amenés à vouloir transmettre leur message en même temps.
Pour résoudre le conflit de prise du bus, le protocole CAN utilise une procédure d’arbitrage.
Tous les messages sont classés par priorité croissante selon leur identificateurs (ID) attribués lors de la
conception.
Le robot est composé de 6 nœuds qui transmettent périodiquement des données avec le même débit. Le
tableau 1 présente les principaux nœuds et leurs identifiants.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
11
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Tableau.1 : Principaux nœuds et leurs identifiants
Nœud Identifiant message
Système de Contrôle et Communication (SCC) 0x71E
Système de Pilotage (SP) 0x72E
Système de Détection des Obstacles (SDO) 0x74E
Système de Levage (SL) 0x75E
Système de Gestion de l’Energie (SGE) 0x76E
Système de Localisation de la Position (SLP) 0x7C1

Les différents nœuds sont équipés de microcontrôleurs et des interfaces matérielles CAN haute vitesse.
Le bus CAN du robot fonctionne en mode Standard 2.0A, son fonctionnement est présenté en ANNEXE 5.
Q32. Sur le document réponse DR5, compléter le tableau qui indique la taille en bits des différents champs
de la trame de données du standard CAN 2.0A.
Q33. Calculer la longueur minimale et maximale en bits de la trame de données du standard CAN 2.0A.

𝑁𝑜𝑚𝑏𝑟𝑒 𝑑𝑒 𝑏𝑖𝑡𝑠 𝑑𝑒 𝑑𝑜𝑛𝑛é𝑒𝑠


On définit le rendement comme suit : 𝑅𝑒𝑛𝑑𝑒𝑚𝑒𝑛𝑡 = ;
𝑁𝑜𝑚𝑏𝑟𝑒 𝑑𝑒 𝑏𝑖𝑡𝑠 𝑑𝑒 𝑙𝑎 𝑡𝑟𝑎𝑚𝑒
Q34. Calculer le rendement d’une trame qui transmet 8 octets de données.

Tous les nœuds connectés sur le bus CAN, transmettent leurs données avec un débit constant de 250 kbits/s.
Q35. Calculer la durée de transmission d’un bit et en déduire la durée de transmission d’une trame de 8
octets de donnée.
Q36. Déterminer le nombre maximum de trames de 8 octets de données pouvant circuler sur le bus en une
seconde.

A un instant donné, les trois nœuds SCC, SP et SL souhaitent émettre leurs messages d’identificateurs
respectifs 0x71E, 0x72E et 0x75E.
Q37. Sur le document réponse DR5, compléter les chronogrammes du processus d’arbitrage.
Q38. Sur le tableau du document réponse DR5, préciser les nœuds et les instants de perte d’arbitrage.

Pour éviter de longue suite de bits dominants ou récessifs, chaque contrôleur CAN d’un nœud introduit
volontairement dans la trame à transmettre des bits de bourrage (Stuffing).
Q39. Le nœud SLP envoie un message d’identificateur 0x7C1. Sur le document réponse DR5, Donner en
binaire le champ identificateur avec et sans bourrage.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
12
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Barème de notation

Q1 1 point Q9 1 point Q17 1 point Q25 1 point Q33 1 point


Q2 1 point Q10 1 point Q18 1 point Q26 1 point Q34 1 point
Q3 1 point Q11 1 point Q19 1 point Q27 1 point Q35 1 point
Q4 1 point Q12 1 point Q20 1.5 point Q28 1 point Q36 1 point
Q5 1 point Q13 1 point Q21 1 point Q29 1 point Q37 1 point
Q6 1 point Q14 1 point Q22 1 point Q30 1.5 point Q38 1 point
Q7 1 point Q15 1 point Q23 1 point Q31 1 point Q39 1 point
Q8 1 point Q16 1 point Q24 1 point Q32 1 point
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
13
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Documents Réponses à rendre avec la copie


Document réponse DR1
Q7.

Q8. T1CON
- - T1CKPS1 T1CKPS0 T1OSCEN ̅̅̅̅̅̅̅̅̅̅̅
𝐓𝟏𝐒𝐘𝐍𝐂 TMR1CS TMR1ON

Valeur hexadécimale : ……………………………………….

Q10. CCP1CON
- - CCPxX CCPxY CCPxM3 CCPxM2 CCPxM1 CCPxM0

Valeur hexadécimale : ……………………………………….


Q11.
float mesure_vitesse() {
unsigned int front1, front2;
long T;
float frequence, vitesse;
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………
Periode = ……………………………………………………………
frequence=(1.0/T)*1000000.0;
vitesse= (frequence/16)*60 ;
……………………………………………………………………………………
}
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
14
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Documents Réponses à rendre avec la copie


Document réponse DR2
Q13.
INTCON
GIE PEIE TOIE INTE RBIE TOIF INTF RBIF

Valeur hexadécimale : ……………………………………….

PIE1
PSPIE ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE

Valeur hexadécimale : ……………………………………….

Q14.
int nbDebordement=0;
void interrupt() {
……………………………………………………………………………………
……………………………………………………………………………………
……………………………………………………………………………………

}
Q15.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity compteur is
port(……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
end compteur;
Q16.
Architecture rtl of compteur is
begin
process(clk,R)
begin
if ………………………………………………………… then -- Test de R
QC <= ………………………………; -- Remise à 0 de QC
elsif ………………………………………………………… then -- front descendant de clk
if EN = '1' then
QC <= ………………………………; -- incrementer QC
end if;
end if;
end process;
end ……………………;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
15
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Documents Réponses à rendre avec la copie


Document réponse DR3
Q17.
library ieee;
use ieee.std_logic_1164.all;
entity registre is
port(……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
end registre;
Q18.
Architecture rtl of registre is
begin
process(H)
begin
if …………………………………………………………………… then -- front descendant de H
……………………………………………………………………… -- QR reçoit D
end if;
end process;
end rtl;
Q19.
library ieee;
use ieee.std_logic_1164.all;
entity circuit_mesure_T is
port(……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
end circuit_mesure_T;
Q20.
Architecture rtl of circuit_mesure_T is
signal S : std_logic_vector(15 downto 0);
component compteur
port(……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
end component;
component registre is
port(……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
……………………………………………………………………………………………………………………
end component;
begin
u1:compteur port map(…………………………………………………………………………);
u2:registre port map(…………………………………………………………………………);
end rtl;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
16
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Documents Réponses à rendre avec la copie


Document réponse DR4

Q21.
Nombre de canaux Plage de tension d’entrée Technique de conversion Résolution en bits

Q23.
Tension analogique VAI0 N en décimale N en hexadécimale
3V

Q25. Control Byte :


MSB LSB

Valeur hexadécimale : ……………………………………….

Q26. Trame I2C :

Q27.
void write_Config(char c) {
char ack;
……………………………………………………………………………………
ack = I2C_write(…………………………………);
if (ack==0) I2C_write(…………………………………);
……………………………………………………………………………………
}

Q28.

TXSTA
CSRC TX9 TXEN SYNC - BRGH TRMT TX9D

Valeur hexadécimale : ……………………………………….

RCSTA
SPEN RX9 SREN CREN ADDEN FERR OERR RX9D

Valeur hexadécimale : ……………………………………….


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
17
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Documents Réponses à rendre avec la copie


Document réponse DR5
Q30.
Trame niveaux TTL correspondante à l’émission de l’octet 0x19, 0x0C et 0x7A :

Q31.
; Attendre jusqu’à ce que le bit TXIF du registre PIR1, passe à 1
loop BTFSS ………………………
GOTO loop ;
; Ecriture du caractère 0x19 dans TXREG
……………………………….
……………………………….
Q32.
Champ SOF Arbitrage Commande Données CRC ACK Fin de trame
Nombre de bit

Q37.

Q.38
Nœud Instant de perte d’arbitrage

Q.39
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
18
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

ANNEXE 1 : Capteur KTIR0611S


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
19
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
20
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

ANNEXE 2 : TIMER du PIC16F8xx


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
21
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
22
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

ANNEXE 3 : Module USART du PIC16F8xx


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
23
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

Calcul de la vitesse de transmission USART du PIC :

Jeu d’instruction du PIC :


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
24
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

ANNEXE 4 : Convertisseur A/N et N/A PCF8591


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
25
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27
Control byte:
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
26
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

ANNEXE 5

r r
1 0
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2021 -
27
Filière : Systèmes Electroniques - SE - Épreuve : Electronique
27

- 2 bits r1, r0 qui sont toujours au niveau dominant

Vous aimerez peut-être aussi