Vous êtes sur la page 1sur 37

ECOLE SUPERIEURE DES TECHNIQUES AVANCEES

ESTA

Filière : ELM1

SUPPORT DE COURS
UE : Electronique numérique et systèmes programmés 1
(L1, S2)

Enseignant : COULIBALY Souleymane, tel : 70 75 88 39 ou 68 76 42 77

ANNEE ACADEMIQUE : 20…-20…

1
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
A- Les circuits (intégrés) combinatoires
Objectifs :
Connaître et comprendre
• La définition d’un circuit combinatoire
• Ses diverses représentations et implémentations (multiplexeur, décodeur, …)
• Les circuits combinatoires usuels, leur fonctionnement et leurs applications
Être capable de
• Analyser un circuit combinatoire existant
• Réaliser un nouveau circuit combinatoire à partir d’une spécification
• Transformer un circuit combinatoire en un circuit équivalent sous certaines contraintes.

1. Introduction
Circuit combinatoire : circuit dont les sorties dépendent uniquement de la combinaison des états
des entrées à l’instant de l’observation.
La transmission de données nécessite fréquemment des opérations de conversion, de transposage
et d’aiguillage. On utilise pour cela des circuits combinatoires. De plus au cœur de l’ordinateur se
trouve un ensemble d’éléments combinatoires permettant d’effectuer les différentes opérations
(arithmétiques et logiques).
Voici une liste des principales fonctions standards combinatoires :
 L'encodeur de priorité
 le décodage (X/Y) 1 parmi 2; 1 parmi 4; 1 parmi 8
 le transcodage de nombres: BIN_BCD; BCD_BIN; BIN_GRAY; BCD_7SEG; etc.
 le multiplexage (MUX) 2 vers 1; 4 vers 1; 8 vers 1 et 16 vers 1
 les opérations arithmétiques (addition, soustraction, ...)
 la comparaison (COMP) : <, =, >
 ...

2. Circuits de transcodage
(Codeurs, décodeurs, convertisseurs ou transcodeurs)
Un circuit de transcodage (encore appelé transcodeur) transforme une information disponible en
entrée sous forme donnée (généralement un code) en la même information, mais sous une autre
forme (généralement un autre code). Il existe trois types de transcodeurs :

2
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
2.1 Les Codeurs

a) Définition
Un codeur est un dispositif qui traduit les valeurs d’une entrée dans un code choisi.
Par exemple un clavier comporte n touches. Chaque touche représentative d’un caractère est affectée d’un numéro.
L’opération de codage consiste à donner à chaque numéro ou caractère un équivalent binaire c’est à dire un mot
composé d’éléments binaires.
+Vcc
‘’1’’ 1 A MSB
Si i = 4 alors :
B A=0
2
B=1
CODEUR N=i
C C=0
i
D=0
D LSB
n

b) Intérêt du codage
Le codage des informations apporte une réduction du nombre de variables à traiter. Pour un clavier classique la
quarantaine de touches se code facilement avec 6 variables.
2n boutons se codent avec n variables.

c) Réalisation des codeurs


Dans sa version la plus simple un codeur est un ensemble de circuits ‘’OU’’. Soit la table de codage pour les nombres
de 1 à 9 en binaire :
La sortie A = 1 si N = 9 ou N = 8
N ABCD La sortie B = 1 si N = 4 ou N = 5 ou N = 6 ou N = 7
1 0001 La sortie C = 1 si N = 2 ou N = 3 ou N = 6 ou N = 7
2 0010 La sortie D = 1 si N = 1 ou N = 3 ou N = 5 ou N = 7 ou N = 9
3 0011
4 0100
Réaliser le schéma de ce codeur :
5 0101
6 0110
7 0111
8 1000
9 1001

Si aucune commande n’est envoyée au codeur les sorties A, B, C, D sont toutes nulles, ce qui exclut de coder le numéro 0.

Il existe des circuits intégrés codeurs.


Exemple : 74HC147 ou 54HC147 Inputs
Output Output
10-to-4 line priority encoder : encodeur prioritaire 10 entrées Vcc NC D 3 2 1 9 A
16 15 14 13 12 11 10 9
décimales, 4 sorties binaires

74HC147

1 2 3 4 5 6 7 8
4 5 6 7 8 C B GND
Outputs
Inputs
3
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Codeur (encodeur)
Circuit à M=2N entrées et N sorties qui code en binaire le rang de la seule entrée active.

Exemple: Codeur décimal-DCB 10 entrées, 4 sorties

4
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
2.2 Les Décodeurs

a) Définition
Un décodeur est un circuit qui délivre une (ou des) information(s) lorsque la combinaison des variables binaires est
représentative du (ou des) mot(s) – code choisi(s). Un décodeur réalise la fonction inverse d’un codeur.

b) Réalisation des décodeurs


En pratique on trouve 2 types de décodage :
 Le décodage d’une configuration (ou d’un mot – code) déterminée
Le décodage est obtenu à l’aide d’un simple opérateur logique ET vérifiant la simultanéité des variables binaires
correspondantes à la configuration à décoder.
Exemple : décodeur du nombre 7
D
Générateur C
De codes B S
0 1 2

1
A (MSB)
3 4 5

N = (ABCD)2 S = 1 si N = (0111)2 = (7)10


 Le décodage de toutes les combinaisons d’un code
Le circuit possède 2n sorties dont une seule est active à la fois. La sortie active est déterminée par la combinaison
des n entrées.
Exemple : décodeur 1 parmi 4 (Dresser la TdV, tirer les équations et réaliser le schéma logique)

S0
E0
Décodeur S1
E1 1/4
S2
S3

Exercices
1) Réaliser un décodeur 1 parmi 8.
2) Réaliser un décodeur BCD / Décimal.

5
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
2.3 Les Transcodeurs (Convertisseurs)

Les transcodeurs sont des circuits qui permettent de passer d’un code à un autre.

6
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Exemple 1 : transcodeur Binaire / Gray 3bits (tirer les équations et vérifier le schéma logique ci-dessous)
Table de vérité
B2 B1 B0 G2 G1 G0 G2
0 0 0 0 0 0 B2
G1
0 0 1 0 0 1
=1
0 1 0 0 1 1
B1
0 1 1 0 1 0
1 0 0 1 1 0
1 0 1 1 1 1 G0
B0 =1
1 1 0 1 0 1
1 1 1 1 0 0

Exemple 2 : décodeur 7 segments


On appelle décodeur 7 segments ou transcodeur BCD / 7 segments le dispositif de transcodage permettant de passer
du code BCD au code d’affichage de chiffres décimaux.
Soient a, b, c, d, e, f, g les variables correspondantes aux 7 segments. Si une variable est au niveau actif, le segment
correspondant est allumé sinon il reste éteint.

Les chiffres étant formés de la façon suivante :


a
b
f g

e c

Exemple de synoptique :

f b

ee c

a AFFICHEUR
0
1
D b 7 SEGMENTS
CODEUR DECODEUR c
C d
Décimal / BCD BCD / 7segments e
B 74HC47 f
74HC147 74HC48 g
74HC148 A dp
8 74HC247
9
7
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Table de vérité
Compléter la TdV et tirer les équations des 7
(N)10 A B C D a b c d e f g sorties.
0 0 0 0 0 Faire le schéma logique du décodeur
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1

NB : Si le décodeur possède des sorties à collecteur ouvert, il est nécessaire d’adjoindre une charge résistive (R) à
chaque sortie du décodeur. La valeur de R dépend de la valeur de l’intensité du courant débité en sortie ainsi que de
la tension maximale autorisée sur les sorties du décodeur.

3 LES MULTIPLEXEURS ET DEMULTIPLEXEURS

3.1 Les multiplexeurs (MUX)

a) Définition
Un multiplexeur est un circuit qui réalise un aiguillage de l’une de ses entrées vers une sortie unique d’information
grâce à une commande C d’aiguillage appelé adresse.
1 commande C0 permet de sélectionner entre 2 entrées (E0 et E1) : Multiplexeur 2 vers 1.
2 commandes C0 et C1 permettent de sélectionner entre 4 entrées (E0, E1, E2 et E3) : MUX 4 vers 1.
Et ainsi de suite.
Il est schématisé par les figures ci-dessous :

8
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
V
V
E0 C1 C0 S
E0
0 0 E0
S E1 0 1 E1
E1 1 0 E2
S
E2 1 1 E3
C0 S
0 E0 E3
1 E1
C0
C0
MUX : 4 vers 1
C1
MUX : 2 vers 1

La position de l’interrupteur est fixée par une commande. Ainsi donc pour 2n entrées il faut n éléments binaires de
commande. Chaque combinaison C 1C0 est l’adresse d’une entrée à sélectionner.
V : Validation : c’est une entrée de validation (S: Strobe ou E: Enable) qui permet de bloquer ou de libérer la sortie
S. Exemple si V = 0 : la sortie est bloquée ; si V = 1 : la sortie est libérée.
La sortie peut être directe ou inversée ou même les deux cas de sorties.
L’inhibition de la sortie peut se traduire par une sortie ‘’Haute impédance’’. Voir technologie Trois-états (Tri-state).
Réaliser le schéma des multiplexeurs ci-dessus.

9
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
b) Application

 Sélection d’informations 1.Lecteur CD


Exemple d’un système.
2.Lecteur K7
MULTIPLEXEUR Haut
Amplificateur
3.Tuner (radio) parleur

4.Lect. Phono

CLAVIER de codes

 Conversion parallèle - série


Soit un mot binaire A = a3 a2 a1 a0 a0
disponible en mode parallèle c’est à
a1
dire sur 4 fils, chacun étant affecté S C1 C0 S
a3 a2 a1 a0
à un élément binaire du mot. a 2 0 0 a0
Pour transmettre les éléments a3 0 1 a1
1 0 a2
binaires en série, c’est à dire les uns
1 1 a3
après les autres sur un seul fil il faut C0
d’abord transmettre a0 puis a1 puis a2 C1
puis a3. Ceci revient à sélectionner l’un
des éléments binaires de A sur le fil unique de sortie série. Le multiplexeur est capable d’effectuer cette tâche si
les combinaisons correspondantes sont placées successivement sur les commandes de sélection.

c) Circuit intégré multiplexeur


Double sélecteur-multiplexeur 4 vers 1 avec sorties 3 états : circuit 74LS253
Sélection A * Deux entrées de sélection ou adresse : A et B
ou adresse B qui permettent de sélectionner une entrée parmi
les quatre.
Validation 1G
Données ou 1e 1Y * Deux entrées de validation /1G et /2G qui
0
information 1 e1 permettent chacune de valider l’entrée
1 e2 Sorties affectées après
1 e3 validation de l’état de sélectionnée sur la sortie.
Validation 2G 2Y l’entrée sélectionnée
Données ou 2e
0 * Deux fois 4 entrées de données (1en et 2en).
information 2 e1
2 e2
2 e3 * Deux sorties ‘’3-états’’ séparées 1Y et 2Y.
10
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
3.2 Démultiplexeur (DEMUX)
Un Démultiplexeur est un dispositif réalisant également un aiguillage d’information. La différence entre le
multiplexeur et le Démultiplexeur réside dans la circulation des informations.

Un Démultiplexeur distribue l’information d’entrée vers l’une des 2n sorties ; la sélection de la sortie concernée étant
effectuée par n variables de commande.
exemple : demultiplexeur 1 vers 4
C1 C0 S3 S2 S1 S0
S0
0 0 0 0 0 E0
S1 0 1 0 0 E1 0
E
S2 1 0 0 E2 0 0
S3 1 1 E3 0 0 0

C1 Exemple circuit : 74LS155


Double Décodeur-Démultiplexeur 2 vers 4
C0

Application : transmission de données entre ordinateurs par ligne téléphonique (ligne unique).
MUX : Ligne téléphonique DEMUX
//-série Série-//

11
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
ELECTRONIQUE NUMERIQUE LES CIRCUITS ARITHMETIQUES

4 LES CIRCUITS INTEGRES ARITHMETIQUES

4.1 L’additionneur (Adder)

4.1.1 Le demi-additionneur (Half Adder)


C’est un circuit réalisant l’addition de 2 nombres binaires de 1 bit. Le résultat de l’opération comporte 2 parties : la
somme Σ et la retenue r.
Soit l’addition de a et b de 1 bit :

Table de vérité Equations schéma


a b r Σ Σ=a+b
r = ab
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0

4.1.2 L’additionneur complet (Full Adder)


Pour effectuer l’addition de 2 nombres binaires à plusieurs chiffres, la technique consiste à additionner
successivement les chiffres de même poids avec éventuellement la retenue de l’addition précédente.
Soit l’addition de A et B de 4bits :
r3 r2 r1 r0
A = a3a2a1a0 A a3 a2 a1 a0
B = b3b2b1b0 + b3 b2 b1 b0
B
Σ3 Σ2 Σ1 Σ0
r4 r3 r2 r1

résultat r4 Σ3 Σ2 Σ1 Σ0

Table de vérité
an bn rn Σn rn+1 Equations
Σn = an + bn + rn
0 0 0
rn+1 = an bn + (an + bn)rn
0 0 1
0 1 0
0 1 1 L’additionneur ainsi réalisé est appelé
1 0 0 additionneur complet.
1 0 1 Symbole
1 1 0
rn
1 1 1 ADD Σn
an
rn+1
bn
Schéma
rn
an =1 Σn
bn =1

&
≥1 rn+1
&
12
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
ELECTRONIQUE NUMERIQUE LES CIRCUITS ARITHMETIQUES

L’addition de 2 nombres de n bits nécessite n additionneurs complets, la retenue appliquée sur les plus faibles poids
est nulle et chaque retenue calculée est appliquée au chiffre de poids immédiatement supérieur.

EXEMPLE : l’addition de A et B (Additionneur à propagation de retenue)


A = a3a2a1a0
B = b3b2b1b0
b3 a3 b2 a2 b1 a1 b0 a0

r3 r2 r1 <<0>>
r0
bn an rn bn an rn bn an rn bn an rn
ADD ADD ADD ADD

rn+1 Σn rn+1 Σn rn+1 Σn rn+1 Σn

r4 Σ3 Σ2 Σ1 Σ0

Exemple de circuit: 7483; CD4008 (4 bits Full Adder) (carry out)


VDD b3 Co S3 S2 S1 S0 Ci (carry in)
16 15 14 13 12 11 10 9

Carry : retenue
CD4008

1 2 3 4 5 6 7 8
a3 b 2 a2 b1 a1 b 0 a0 Vss

4.2 Le soustracteur (réaliser le circuit et réaliser un circuit additionneur-soustracteur)

4.3 Le comparateur

Un comparateur est un circuit capable de détecter l’égalité entre 2 nombres A et B et éventuellement d’indiquer le
nombre le plus grand ou le plus petit.

4.3.1 Principe
Pour effectuer la comparaison de 2 nombres deux techniques sont utilisées :
- La soustraction des 2 nombres : Si le résultat de l’opération A – B est positif, cela signifie que A est supérieur
à B. Si le résultat est nul les 2 nombres sont égaux.
- Une comparaison bit à bit : C’est cette méthode qui est utilisée dans les circuits électroniques. La comparaison
s’effectue poids par poids en commençant par le chiffre le plus significatif.
Les nombres A et B ayant le même format, le nombre A est supérieur à B si son élément binaire le plus significatif
est supérieur à celui de B. Si ces deux éléments binaires sont égaux la supériorité ou l’infériorité ne peut être
déterminée que par l’examen des bits de poids immédiatement inférieur et ainsi de suite.
L’examen des poids successifs s’arrête dès que l’un des éléments binaires est supérieur ou inférieur à l’autre. Les 2
nombres sont égaux si après avoir examiné tous les éléments binaires, il n’a pas détecté de supériorité ou d’infériorité.

13
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
ELECTRONIQUE NUMERIQUE LES CIRCUITS ARITHMETIQUES

4.3.2 Comparateur donnant l’égalité de 2 nombres de 1 bits

Table de vérité équation schéma

a b E
0 0
0 1
1 0
1 1

4.3.3 Comparateur donnant l’égalité de 2 nombres de n bits

C’est le comparateur le plus simple. 2 nombres sont égaux si tous les chiffres de même poids sont égaux deux à deux.
Soit 2 nombres binaires A et B de 4 bits
A = a3a2a1a0 B = b3b2b1b0 a3
A = B si (a3= b3) ET (a2 = b2) ET(a1 = b1 ) ET (a0 = b0) b3 =1
Ce qui donne le schéma suivant :
a2
=1
b2 &
A=B
a1
b1 =1

a0
b0 =1

4.3.4 Comparateur complet


Table de vérité
A an bn an=bn an>bn an<bn
La cellule élémentaire du comparateur comporte 3 entrées :
En Sn In
- les éléments binaires an et bn de même poids ;
- une entrée A pour autoriser (valider) la comparaison 0 0 0
0 0 1 Pas de
Compléter la table de vérité et tirer les équations : comparaison
Equations 0 1 0
Sn = ---------------------------------------- 0 1 1
In = ---------------------------------------- 1 0 0
En = ---------------------------------------- 1 0 1
1 1 0
schéma 1 1 1

A
symbole
Sn
an COMP
En
bn
In

14
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Par analogie avec l’additionneur, la conception d’un comparateur pour des nombres de n bits se fait en cascade c’est
à dire avec propagation des égalités partielles
L’entrée d’autorisation est en fait la détection d’égalité des éléments binaires de poids supérieurs.

Exemple : Soit la comparaison de A et B


A = a3a2a1a0 B = b3b2b1b0 <<0>>

A Sn
a3 an ≥1
COMP En A>B
b3 bn
In

A Sn
a2 an
COMP En
b2 bn
In
≥1
A<B

A Sn
a1 an
b1 COMP En
bn
In

A Sn
a0 an A=B
COMP En
b0 bn
In

15
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Exemple de circuit: 74HC85/ 54HC85 4 bits Magnitude Comparator

Data inputs
Cascade inputs : Entrées de mise en
Vcc a3 b2 a2 a1 b 1 a0 b0 cascade lorsqu’on doit utiliser plus de
16 15 14 13 12 11 10 9
deux circuits comparateurs pour
comparer deux mots de plus de 4 bits
74HC85 chacun.
Outputs : sorties des cascades

1 2 3 4 5 6 7 8
b3 A<B A=B A>B A>B A=BA<B GND
Data
input Cascade
Outputs
inputs

4.3.5 L’Unité Arithmétique et Logique: UAL

(Arithmetic Logic Unit : ALU)


Ce circuit est utilisé dans pratiquement tous les systèmes de calcul. C’est un opérateur capable d’effectuer un
ensemble de traitement arithmétiques (addition, soustraction,…) ou logiques (ET, OU,…) sur des mots binaires de
format donné.
Le choix de l’opération est déterminé par des bits de commande. C’est donc un opérateur programmable.
Exemple de circuit d’Unité Logique et Arithmétique intégrée : Le 74 181

Représentation fonctionnelle Symbole logique

Sélection des S0
S1 Cin
opérations
S2
5 s3 Cout
m
Cin
Cout
A a3
b3 (1) Fo
4
F a2
opérandes b2 (2) F1
4 résultat
a1
B b1 (4) F2
4 a0
(8) F3
b0 16
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Sélection des opérations : se fait sur 5 bits ;
soit 25 = 32 fonctions groupées en 16 opérations arithmétiques et 16 opérations logiques.

Application de l’ALU

Selection m = 1 m = 0 (opérations arithmétiques)


S3 S2 S1 S0 (Opérations
logiques) Cin = 0 Cin = 1
Pas de retenue entrante retenue entrante
0000 F =A F =A F = A plus 1
0001 F =A+B F =A+B F = (A + B) plus 1
0010 F =AB F =A+B F = (A + B) plus 1
0011 F =0 F = moins 1 (complément à 2) F =0
0100 F = AB F = A plus A B F = A + A B plus 1
0101 F =B F = (A + B) plus A B F = (A+ B) plus A B plus 1
0110 F =A + B F = A moins B moins 1 F = A moins B
0111 F =AB F = A B -1 F =AB
1000 F =A+ B F = A plus AB F = A plus AB plus 1
1001 F =A + B F = A plus B F = A plus B plus 1
1010 F =B F = A + B plus AB F = (A + B) plus AB plus 1
1011 F = AB F = AB moins 1 F = AB
1100 F =1 F = A plus A = 2A F = A plus A plus 1
1101 F =A+B F = (A + B) plus A F = (A + B) plus A plus 1
1110 F =A+B F = (A + B) plus A F = (A + B) plus A plus 1
1111 F =A F = A moins 1 F =A
NB : le signe + correspond au OU logique.

17
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
VI. les composants logiques programmables (voir Doc Sciences de l’ingénieur page 127)

2. Principe des composants logiques


Toute fonction logique peut se mettre sous la forme de somme de produits ou de produit de sommes.
Il semble donc naturel d'utiliser une structure comportant deux ensembles fonctionnels :
 un ensemble d'opérateurs ET organisé sous forme d'une matrice qui génère les produits de
variables d'entrées, éventuellement complémentées.
 un ensemble d'opérateurs OU, appelée matrice OU, qui somme les produits.
L'idée est de ne conserver que les produits et les sommes qui nous intéressent. Pour cela, le
composant programmable prévoit au départ toutes les connexions possibles et laisse à l'utilisateur le
soin de couper (griller les fusibles) les liaisons qui ne l'intéressent pas. Voir figure 1 ci-dessous.

3. Classification des réseaux logiques combinatoires


Les réseaux logiques programmables recouvrent une grande gamme de produits qui diffèrent selon
la partie programmable. La liste des différentes familles de composants programmables qui suit n'est
pas exhaustive. Elle se veut une introduction aux différentes architectures de ces composants.
 PLE (Programmable Logic Element): Plan ET fixe, plan OU programmable.
 PAL (Programmable Array Logic): Plan ET programmable, plan OU fixe.
 PLA (Programmable Logic Array): Plan ET programmable, plan OU programmable.
 FPLA (Field PLA): PLA reprogrammable.

18
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
 PEEL (Programmable Electrically Erasable Logic)
. EEPROM
. EEPLA
. EEPAL (GAL: Generic Array Logic)
Les différents plans sont illustrés par la figure 8 ci-dessous

Intérêts de la logique programmable


Si un circuit est réalisé en logique programmable, il utilisera moins de composants puisque ceux-ci réalisent
directement les fonctions logiques désirées.
Un circuit ayant moins de composants sera habituellement moins coûteux à concevoir, réaliser et distribuer. La
réduction du nombre de composants électronique tend aussi à augmenter la fiabilité des circuits et à réduire la
consommation énergétique.

VII. Synthèse des circuits combinatoires

19
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
B- Les circuits (intégrés) Séquentiels
Objectifs :
Connaître et comprendre
 Les différents types de circuits séquentiels de base
Être capable de
 Dessiner les chronogrammes de signaux en tenant compte des délais internes aux portes logiques
 Analyser et concevoir des circuits séquentiels (bascules, compteurs, registres…).

I. Définitions

LOGIQUE COMBINATOIRE
Un circuit logique est dit combinatoire si après un temps fini, les sorties sont stables et déterminées par la
combinaison des variables d’entrée. Cette valeur de la sortie est indépendante de la valeur précédente prise par la
fonction. a
Fonction
Exemple : codeur, décodeur, multiplexeur… b Combinatoire S
c
LOGIQUE SÉQUENTIELLE
Il s’agit d’étudier les circuits fonctionnant suivant une logique séquentielle. Dans les circuits séquentiels,
la valeur de la sortie dépend des états antérieurs → introduction de l’effet de mémoire.
Dans un tel système, à une même combinaison des variables d’entrée ne correspond pas toujours la même
valeur à la sortie. La fonctionnalité dépend de l’ordre des opérations (ordre de déroulement des
séquences ; selon le temps) → système séquentiel.
Les fonctions séquentielles de base sont :
– mémorisation ;
– comptage ; a
– décalage. b Fonction
Combinatoire
S
c
Les circuits séquentiels fondamentaux sont :
– bascules ;
– compteurs ; Mémoire
– registres
– RAM (Random Access Memory). Fonction séquentielle
Dans les circuits séquentiels, l’état des sorties à un instant t n dépend
non seulement de la combinaison des variables d’entrées à l’instant tn mais aussi de l’état de la valeur antérieure de
la fonction (soit de l’état des entrées aux instants antérieurs tn-1 ; tn-2 …). Un circuit séquentiel possède une fonction
mémoire.
Exemple : bascule, compteur…

NB : pour la suite dans le cours on notera :


* tn-1 : l’instant antérieur (état antérieur ou passé)
* tn : l’instant présent (état initial)
* tn+1 : l’instant immédiatement postérieur (état futur ou final)
De même les variables et les fonctions seront indicées suivant leur état antérieur, initial ou final.
* at ; At ; Q- ou Qt : état présent ou de départ.
* at+1 ; At+1 ; Q+ ou Qt+1 : état final ou d’arrivée.

Les bascules sont les éléments de base de la logique séquentielle.


Une bascule est un dispositif électronique susceptible de changer d’état binaire sur commande et de conserver cet
état même après disparition de la commande jusqu’à l’apparition d’une autre commande. Ce dispositif constitue donc
une mémoire. Une bascule est appelée une mémoire élémentaire ou unitaire. On trouve 2 types de fonctionnement :

20
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Le fonctionnement asynchrone : la sortie de la bascule change d’état uniquement en fonction des grandeurs d’entrée
(pas de signal d’horloge.
Le fonctionnement synchrone : le changement d’état de la sortie est conditionné par une autorisation donnée par le
signal d’horloge (clock). Le signal d’horloge peut être de 3 façons différentes :
Synchronisation sur niveau : il suffit d’appliquer le niveau convenable de tension appelé niveau actif (niveau
logique 1 ou 0) pour que la sortie de la bascule puisse changer.
Synchronisation sur front : la durée de l’autorisation est le temps que le signal d’horloge passera d’un niveau
à un autre.
Exemple :
- Synchronisation sur front montant :
- Synchronisation sur front descendant :
Synchronisation par impulsion : Une impulsion est composée de 2 fronts. Le premier front sert à la
synchronisation des entrées, le second à la synchronisation des sorties.

Mémoire à relais
Schéma chronogramme
K a
a
b
t

b
k t

a = bouton d’arrêt
b = bouton de marche K
k = contact d’auto maintien du relais K t

Tirer l’équation de K ; Compléter le chronogramme de K ; faire le logigramme de K en portes NAND puis NOR.
Que se passera-t-il si les boutons poussoirs ‘’a’’ et ‘’b’’ sont appuyés en même temps ?

21
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
II. Les bascules
1. La bascule RS

a) Principe
La bascule RS est le circuit séquentiel le plus simple. Son rôle consiste à noter la présence d’une information fugitive,
et à conserver cet état lorsque l’information en question disparaît.
Elle dispose de 2 entrées R et S et de 2 sorties complémentaires Q et Q, d’où son symbole :

S Q S (set) : entrée de mémorisation de l’information reçue ; mise à


1 de la bascule.
R Q R (Reset) : entrée d’effacement de la mémoire ; mise à 0.
Q : sortie qui donne l’information mémorisée.

b) Fonctionnement
On distingue 3 modes de fonctionnement : R S Qt Qt+1
-Fonctionnement en mode <<mémoire>> : S = R = 0, la sortie reste 0 0 0 0 Fonction mémoire
dans l’état ou elle était (0 ou 1) 0 0 1 1 Qt+1= Qt
-l’écriture d’un <<1>> ou la mise à 1 de la sortie Q : S = 1, R = 0 0 1 0 1 Set, mise à 1
0 1 1 1 Qt+1=1, Qt
-l’écriture d’un <<0>> ou la mise à 0 de la sortie Q : S = 0, R = 1 1 0 0 0 Reset, mise à 0
-la combinaison R = S = 1 n’est pas utilisable puisqu’elle conduit à 1 0 1 0 Qt+1=0, Qt
avoir simultanément la mise à 1 et à 0 de la sortie. 1 1 0 x Etat logiquement
1 1 1 x interdit
c) Constitution
Une bascule RS est une mémoire à relais réalisée avec des opérateurs logiques.
Tirer l’équation de la sortie Qt+1 et transformer la en portes NOR puis NAND
…………………………………………………………………………………………………………………………………………………………………………………………………………………
bascule RS en portes NOR

S Entrées Sorties Etats


1 Q R S Qt+1 Qt+1
0 0 mémoire
0 1 Mise à 1
1 0 Mise à 0
R 1 Q 1 1 interdit

Faire les chronogrammes de R, S, Q

bascule RS en portes NAND (ou bascule R S)

S S Entrées Sorties Etats


  Q R S Qt+1 Qt+1
0 0 interdit
0 1 Mise à 0
1 0 Mise à 1
R Q 1 1 mémoire
 
R
Faire les chronogrammes de R, S, Q
NB : la bascule RS est asynchrone, pas de signal d’horloge et elle est sensible aux parasites.

22
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Chronogramme

2. Bascule RSH
La bascule RSH1 est une bascule RS synchronisée par un signal d’horloge H. La bascule RSH est également appelée
bascule RST ;

Symbole Table de vérité

Réalisation

Chronogramme

Cette bascule admet encore S = R = 1, aussi pour éliminer définitivement cet état interdit on utilisera la bascule D.

23
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
3. La bascule D ‘’LATCH’’ (verrouillage)

a) Définition
Elle est aussi appelée bascule D statique. C’est une bascule RST donc les entrées sont complémentaires pour éviter
l’état interdit.
Cette bascule dispose d’une seule entrée appelée D (data = donnée) = S = R. Le signal de synchronisation est actif sur
un niveau.
-Le signal de synchronisation est actif : la sortie recopie l’entrée.
-Le signal de synchronisation est inactif : la sortie ne change pas. C’est le fonctionnement en mémoire.
Lors du passage en position mémoire la dernière valeur recopiée est mémorisée.

b) Schéma logique
Une bascule D est réalisée à partir d’une bascule RST ou les entrées R et S sont liées pour donner la relation
D = S = R.
Table de vérité

S T D Qt+1
D
  Q 0 0 Etat
0 1 Mémoire

T 1 0 Recopie D
Qt+1 = D
1 1

R   Q
1

Lorsque T = 1 si D change d’état alors Qt+1 change d’état (Qt+1 = D). La bascule est transparente.
Lorsque T = 0 la donnée D est mémorisée.
La bascule D permet de mettre en mémoire une information binaire.

symbole PR symbole PR
D D
S Q Q
T T
R Q Q
CLR CLR
4. La bascule D à commande sur front (type Edge Triggered ou Delay Flip-Flop)

a) Définition
Elle est aussi appelée bascule D dynamique. Elle est une extension de la bascule Latch.
L’entrée de commande ou d’horloge agit uniquement sur un front montant ou descendant (edge-triggered).

Horloge active au front montant Horloge active au front descendant

H H

24
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
symbole PR Table de vérité
T D Qt Qt+1
D
Q 0 x x Qt Etat Mémoire
T 0 0 Recopie D
0 1 Qt+1 = D
Q
1 0
CLR 1 1

La sortie Q prend l’état logique de l’entrée D au front montant de l’horloge.


symbole PR
Il existe aussi des bascules D réagissant aux fronts
descendants de l’horloge. Leur symbole est : D
Q
T
Q

CLR

Exemple de circuit réalisant la fonction bascule D : SN74LS74 ; CD40174 ; CD40175

25
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
5. La bascule JK
La bascule JK une bascule à usage universelle.
Pour pallier à l’inconvénient S = R= 1, on réalise une bascule JK à partir d’une bascule RST en établissant une
rétroaction des sorties Q et Q sur les entrées (S = J Q et R = KQ).

a) bascule JK simple

Table de fonctionnement
T J K Qt+1 Qt+1
J 
 Q 0 x x Qt Qt
1 x x Qt Qt
Etat mémoire
T x x Qt Qt
0 0 Qt Qt
Q 0 1 0 1 Mise à 0
 
K 1 0 1 0 Mise à 1
1 1 Qt Qt Basculement

Symbole

J Q
J : entrée de mise à 1 Si les deux entrées sont au niveau haut (J= K = 1)
T K : entrée de mise à 0 les sorties changent d’état (basculement) à chaque
front montant d’horloge, il n’y a plus d’état
K Q d’indétermination

b) Bascule JK Maître-Esclave
Il est possible d’avoir pour les bascules JK un état indéterminé si la durée de l’impulsion d’horloge est plus longue que
le temps de propagation. Dans ce cas lorsque l’on applique une impulsion d’horloge, la sortie basculera, après un temps
de propagation ‘’tp’’. Mais vu que les signaux d’entrées sont encore actifs, les sorties tendent à hésiter entre les états
0 et 1, ce qui fait que l’état de la bascule est indéterminé à la fin de l’impulsion.
Pour éviter cet inconvénient, on a recours à la bascule JK Maître-Esclave. Elle est obtenue à partir de la bascule RST
maître-esclave avec rétroaction des sorties sur les entrées.

26
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Symbole
PR Table de fonctionnement
J K Qt Qt+1 Qt+1
J Q
0 0 0
0 0 1
H
0 1 0
K Q 0 1 1
Exemple de circuit
1 0 0 intégré bascule JK :
CLR
1 0 1 74C73, 74C76
Fonctionnement : 1 1 0
- J est l’entrée de mise à 1. 1 1 1
- K est l’entrée de mise à 0.
- Les entrées sont prises en compte sur les fronts montants de H.
- Les sorties changent d’état sur les fronts descendants de H.
- Si J = K = 1 les sorties changent d’état à chaque front descendant de H (diviseur par 2).

c)

27
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
28
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
III. Les compteurs

1. Définitions et caractéristiques
 Compteur : un compteur est un circuit séquentiel comportant n bascules décrivant au rythme d’une horloge
un cycle de comptage régulier ou quelconque d’un maximum de 2 n combinaisons.
 État, Modulo : la combinaison de sortie d’un compteur est appelé état, et le nombre d’états possibles d’un
compteur est appelé modulo.

Les compteurs binaires peuvent être classés en deux catégories :


- les compteurs asynchrones : les bascules constituant le compteur n’ont pas le même signal d’horloge.
- les compteurs synchrones : les bascules constituant le compteur sont commandées par le même signal d’horloge.

Caractéristiques générales des compteurs :


• Commande d’horloge (synchrone ou asynchrone)
• Sens de comptage (compteurs ou décompteurs)
• Capacité de comptage (modulo)
• Code de comptage (les compteurs en binaire naturel, les compteurs BCD, les compteurs «décimaux » (ou à décade),
les compteurs en Code Gray
• Vitesse de comptage
• Le mode de comptage
• Possibilité de présélection

2. Les compteurs asynchrones


a) Principe
Ils utilisent le principe des diviseurs de fréquence par 2, montés en cascade.
La sortie d’un tel dispositif oscille entre 0 et 1 à chaque front actif de l’horloge. (Toggle)
Réalisation d’un diviseur de fréquence : à l'aide de bascules D ou de JK

• Avec une bascule D (diviseur par 2) : D relié à Q

• Avec une bascule JK (diviseur par 2) : avec J=K=1

29
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
b. Compteurs /décompteurs à cycle complet

30
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
c. Compteurs /décompteurs à cycle incomplet < 2n

d. Inconvénients des compteurs asynchrones


Les inconvénients proviennent de l'asynchronisme. L'horloge n'est appliquée qu'à la 1ère bascule.
Ainsi, il ne peut y avoir de transitions simultanées sur l'ensemble des sorties. Des états indésirables apparaissent
pendant le temps de propagation total de l'information de l'horloge à la dernière sortie. Ce temps de propagation
maximal correspond à n.tp (n étant le nombre de bascules, et tp étant le temps de propagation [tpLH ou tpHL]
d'une bascule. On ne peut donc pas les utiliser à des fréquences élevées.

31
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
3. Les compteurs/décompteurs synchrones
Le changement d’état des sorties se fait simultanément et non en cascade, et ce parce que les impulsions
d’avancements sont envoyées en même temps sur les entrées d’horloge de toutes les bascules.

a) La cellule de base et table de transition


Le comptage implique un changement d’état des sorties des bascules (Qi passe de 0 à 1 et vice-versa).
La table de transition nous fournit l’état des entrées permettant le basculement de 0 à 1 ou de 1 à 0 des sorties des
bascules (JK et D).

Table de transition

b) Démarche pour la synthèse de compteurs /décompteurs synchrones


La réalisation d’un compteur / décompteur binaire passe par les étapes suivantes :
• Détermination du nombre bascules
• Détermination des états des sorties Qi du compteur / décompteur
• Détermination des états des entrées Ji et Ki (ou Di ou Ti) correspondant
• Déterminer les tableaux de Karnaugh des Ji et Ki (ou Di ou Ti)
• Simplification des équations de Ji et Ki (ou Di ou Ti)
• Logigramme du compteur / décompteur correspondant

c) Synthèse d’un compteur synchrone modulo 8 à l’aide de bascule JK.


Nombre de bascules = 3 car (8 =23)
Etat des sorties (en décimal) : 0 1 2 3 4 5 6 7

32
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
4. Compteurs / décompteurs programmables
 Compteur pré-réglable 74160, 74161, 74162, 74163
 L’état initial du compteur est réglable à l’aide des entrées D1, D2, D3, D4 ;
 Validation : elle permet de verrouiller le compteur.
 RAZ synchrone : indépendant de l’horloge.
 RAZ asynchrone : 000 est obtenu au coup d’horloge suivant l’instant ou clear est porté à l’état actif 0.
 Compteur réversible pré-réglable 74193
 Compteurs-Décompteurs décimaux programmable CD4510

Exemple de compteurs intégrés


Décades de compteurs synchrones : SN7490A, SN74L90, SN74LS90
Compteurs asynchrones modulo 16 : SN7493A, SN74L93A, SN74LS93A
Compteurs asynchrones à cycle incomplet (0 à 11) diviseur par 12 : SN7492A, SN74LS92A

IV. Les registres à décalage

1. Définition
Registre : ensemble de n bascules synchronisées permettant de stocker momentanément une information sur n bits.
Dans un registre à décalage les bascules sont interconnectées de façon à ce que l'état logique de la bascule de rang
i puisse être transmis à la bascule de rang i+1 (ou i-1) quand un signal d'horloge est appliqué à l'ensemble des
bascules. L'information peut être chargée de deux manières dans ce type de registre.
- Entrée parallèle : En général une porte d'inhibition est nécessaire pour éviter tout risque de décalage pendant le
chargement parallèle.
- Entrée série : l'information est présentée séquentiellement bit après bit à l'entrée de la première bascule.
A chaque signal d'horloge un nouveau bit est introduit pendant que ceux déjà mémorisés sont décalés d'un niveau
dans le registre.
33
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
2. Applications

– conversion série-parallèle d’une information numérique ;


– opérations de multiplications (1 décalage à gauche) et divisions (1 décalage à droite) par deux ;
– ligne à retard numérique ;
– mémoires à accès séquentiel

a) Registre à écriture série et lecture série

Après 4 autres cycles d’horloge, les 4 bits sont déplacés vers la sortie. Leur application est essentiellement le
calcul arithmétique binaire. CLK est alors l’entrée de décalage.
Application : mise en tampon de données.

b) Registre à écriture série et lecture parallèle

34
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
Lorsque l’entrée est stockée, chaque bit apparaît simultanément sur les lignes de sortie.
Le registre à décalage est utilisé comme convertisseur série-parallèle.
Il est nécessaire à la réception lors d’une transmission série.

c) Registre à écriture parallèle et lecture série


Utilisé comme convertisseur parallèle-série, il est nécessaire à l’émission lors d’une transmission série.

d) Registre à écriture et lecture parallèles


Tous les bits du mot à traiter sont écrits (entrée écriture E=1), ou lus, (entrée lecture L=1), simultanément.
 Stockage en parallèle et transfert en parallèle d’un mot de 4 bits.

35
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
3. Etude des registres à décalage : 74164 et 74165
a) ANALYSE D'UN REGISTRE SÉRIE - PARALLÈLE INTÉGRÉ : LE 74164
Le circuit intégré 74164 est un registre à décalage à deux entrées séries et huit sorties parallèles ayant une
entrée d'horloge (CK) et une entrée asynchrone de remise à zéro générale prioritaire (CLR).
Le brochage et la table de vérité de ce circuit est donné par la figure ci-dessous :

NOTE :
Les appellations Q1n, Q2n, Q3n, etc... qui apparaissent dans la table de vérité du circuit intégré 74164 vous sont
probablement inconnues. Ces appellations signifient simplement que la sortie considérée possède l'état que
possédait la bascule précédente avant le coup d'horloge. Par exemple, dans la 3ème ligne de la table (lorsque A et B
sont à 1), nous lisons dans la colonne Q2 l'état Q1n, cela signifie donc que Q2 est à l'état où était Q1 avant le
coup d'horloge qui a fait passer Q1 à 1.

b) ANALYSE D'UN REGISTRE PARALLÈLE - SÉRIE ASYNCHRONE INTÉGRÉ : LE 74165


Le circuit intégré 74 165 est un registre à décalage 8 bits à une entrée série (ES) et une sortie (Q8). Il possède
huit entrées parallèles (E1 à E8), une entrée de commande de décalage et chargement asynchrone
(SHIFT / LOAD), une entrée d'horloge (CK) et une entrée d'inhibition (CK INHIBIT). Il est à noter que ces deux
entrées CK et CK INHIBIT sont interchangeables.

36
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39
4. Le registre universel 74XX95
Le registre universel permet quatre modes de fonctionnement commandés par deux variables S1 et S2.

37
Electronique numérique et systèmes programmés 1 L1_S2 Filière : ELM1 Enseignant : Coulibaly S. tél : 70 75 88 39

Vous aimerez peut-être aussi