Vous êtes sur la page 1sur 2

UNIVERSITE ABDELMALEK ESSAADI Année universitaire : 2023/2024

Ecole Nationale des Sciences Appliquées Filière : GM2


Tétouan

Travaux pratiques : Systèmes logiques programmables


TP3 : Description en VHDL sous « Xilinx »
- Circuits combinatoires -

OBJECTIFS :

- Réaliser les fonctions combinatoires à l’aide des descriptions VHDL : Description


structurelle et comportementale.

DELIVRABLE :
Rapport en format pdf.

1- Description VHDL comportementale en mode process : UAL

On propose le schéma synoptique suivant d’une unité arithmétique et logique. Donner le


programme VHDL correspondant en utilisant l’instruction : « Case .. When …. end case »

Les entrées A et B sont des bus de 4 bits

Simuler et vérifier le fonctionnement

2- Description VHDL comportementale en mode process : Soustracteur avec la


représentation des nombres négatifs en binaire signé

- Ecrire le code VHDL d’une fonction combinatoire prenant 2 nombres de 4 bits en


entrée, A et B, et donnant le résultat Q de la soustraction des 2 entrées à l’aide de 5
bits : le signe S et la valeur absolue du résultat |A−B|. L’opération de soustraction
s’effectue alors à l’aide de la représentation en binaire signé.
Utiliser l’instruction if …. Then …..end if
- Simuler et vérifier le fonctionnement

A. EL HAMMOUMI / A. ZAKRITI Page 1


UNIVERSITE ABDELMALEK ESSAADI Année universitaire : 2023/2024
Ecole Nationale des Sciences Appliquées Filière : GM2
Tétouan

3- Description VHDL comportementale en mode concurrent : DECALEUR de 8 bits

On souhaite réaliser un circuit appelé décaleur de 8 bits. Le principe consiste à décaler


la valeur d’un mot de 8 bits de N rangs vers la gauche (poids faible vers poids fort).
Dans ce type de circuit, lors des décalages à gauche, les bits de poids faibles prennent
pour nouvelle valeur « 0 »

Exemple de fonctionnement :

- Les entrées E sont les entrées de données, les entrées D correspondent au nombre
de rangs de décalage (N). S correspond aux sorties décalées. Ainsi si D = 011 (N =
3) S est égal à E décalé de 3 rangs vers la gauche : S7 = E4 ; S6 = E3 ; S5 = E2 ;
S4 = E1 ; S3 = E0 ; S2 = 0 ; S1 = 0 ; S0 = 0

Donner la description comportementale VHDL de ce circuit décaleur 8 bits (Utiliser


l’instruction With….Select) et simuler le fonctionnement

4- Description VHDL structurelle/Comportemental : Multiplexeur/Demultiplexeur


On souhaite synthétiser le schéma ci-dessous en utilisant une description structurelle.
On utilisera comme « component » le Mux et le DeMux.

Pour cela, utiliser l’instruction «Case… end case» pour décrire le fonctionnement du
COMPONENT « Mux » et l’instruction « if… elsif .. .end if» pour décrire le
fonctionnement du COMPONENT « DeMux ».

A. EL HAMMOUMI / A. ZAKRITI Page 2

Vous aimerez peut-être aussi