Vous êtes sur la page 1sur 36

3. - LES BASCULES BISTABLES 3. 1.

- DIFFRENTS TYPES DE BASCULES BISTABLES Ce sont des circuits dont les sorties possdent deux tats stables 1 ou 0. Ils ont la proprit de conserver ces tats stables aprs la disparition du ou des niveaux logiques qui ont donn naissance ces tats stables. Ces circuits sont considrs comme des lments de mmoire capables d'emmagasiner et de fournir une unit d'information, c'est--dire un bit. La bascule R-S ou FLIP-FLOP est le type le plus simple de ces nouveaux circuits. Il existe deux types de FLIP-FLOP, les FLIP-FLOP R-S et les FLIP-FLOP horloge. Dans cette thorie, nous examinerons les bascules asynchrones, c'est--dire la bascule R-S et ses drives, ainsi que les bascules D commandes par un niveau logique. Les bascules D commandes par une horloge et les bascules J-K sont des circuits synchrones et seront examines dans la thorie 5. 3. 2. - LES BASCULES COUPLES CROISES 3. 2. 1. - BASCULE COUPLE CROISE R-S RALISE AVEC DES PORTES NOR a) Fonctionnement Il s'agit de la bascule examine prcdemment. Son schma est indiqu la figure 35.

On appelle gnralement les sorties d'une bascule, Q et effet, n'est pas toujours le complment de Q.

; nous adopterons toutefois la notation

; En

La bascule est dite SET lorsque Q = 1 et

= 0, elle est dite RESET lorsque Q = 0 et

= 1.

Les entres R (Reset) et S(Set) sont actives au niveau logique H. Reprenons l'examen de cette bascule en montrant son fonctionnement au moyen d'un tableau prsentant tous les cas successifs que l'on peut rencontrer. Ce tableau est prsent la figure 36.

La figure 36 permet de suivre l'volution du circuit partir de la mise sous tension. Les tats des entres sont indiqus pour chaque cas ainsi que les tats correspondants des sorties. Nous voyons qu'il existe dans cette bascule une entre R et une entre S. Dans le premier cas, seul l'tat d'une des deux entres des portes NOR est connu (niveau L). On ne peut donc pas dire quel est l'tat des sorties, en effet, celui-ci dpend de l'tat de la deuxime entre du NOR.

Dans le second cas, on applique un niveau H sur l'entre R, ce qui a pour effet de forcer le premier NOR 0. Ce 0 ramen sur l'entre suprieure du second NOR force la sortie de celui-ci 1. Cette sortie tant ramene sur l'entre infrieure du premier NOR vient confirmer le forage de celui-ci 0. On aboutit ainsi au premier tat stable de la bascule (RESET). Dans le troisime cas, R est revenu 0, on constate que compte tenu de l'tat antrieur, la bascule est maintenue RESET, le premier NOR tant forc 0 par son entre infrieure. La sortie du second NOR est alors maintenue 1 car ses deux entres sont l'tat 0. On a mmoris l'effet provoqu par R = 1 dans le deuxime cas. Dans le quatrime cas, S passe 1 et vient forcer le second NOR 0. Par le mme processus d au rtrocouplage des NOR, on aboutit ainsi la mise 1 de la bascule ou SET (deuxime tat stable). Dans le cinquime cas, S est revenu 0, on constate le maintien de la bascule 1. Dans le sixime cas, R et S sont 1 simultanment et les deux portes NOR sont forces 0. b) Table de vrit Nous pouvons rsumer ce fonctionnement sous la forme d'une table de vrit. Nous appellerons Qn l'tat de la sortie Q l'instant n et Qn - 1 l'tat de la sortie Q l'instant n - 1, c'est--dire l'instant ayant prcd le changement d'tat des entres.

De manire analogue, nous prendrons les notations Cette table de vrit est reprsente la figure 37.

n et

n - 1.

3. 2. 2. - LES BASCULES A TRANSISTORS a) Rappels sur les transistors La figure 38 rappelle le fonctionnement du transistor NPN en commutation tel que vous l'avez vu dans la technologie 1. (Sommaire technologie digitale et fondamentale).

b) Les bascules

transistors

Dans sa forme la plus simple composants discrets, le circuit FLIP-FLOP est constitu comme le montre la figure 39. Analysons le fonctionnement de ce circuit :

( est 5 volts), la diode D1 est traverse par un courant ID1 Lorsque l'on applique 0 volt sur l'entre (figure 39) et il apparat une tension VD1 trs faible ses bornes. Le transistor TR1 est alors bloqu (base insuffisamment positive pour qu'il conduise). TR1 tant bloqu, aucun courant ne le traverse et monte environ 5 volts.

Cette tension est alors renvoye travers R2 sur la base de TR2 qui se sature (courant Ib2). Q tombe alors pratiquement 0 volt. Cette tension ramene travers R4 sur la base de TR1 vient maintenir le blocage de celuici, et ce mme si l'entre repasse 5 volts.

Nous obtenons un premier tat stable :TR1 est bloqu, TR2 est satur. Ainsi, la sortie (niveau H) et la sortie Q passe 0 volt (niveau L). L'application d'un 0 sur l'entre = 1. C'est l'tat RESET de la bascule. passe 0 volt et que

passe 5 volts entrane donc Q = 0 et

Si maintenant l'entre

est 5 volts (figure 40), de la mme faon TR2 se bloque (0

volt sur sa base) et la sortie Q passe 5 volts (niveau H). Le transistor TR1 se sature, donc la sortie passe au niveau L.

C'est le second tat stable de la bascule. TR2 est bloqu et TR1 est satur. = 0 entrane Q = 1 et = 0. C'est l'tat SET de la bascule. = 0 V et = 0 V,TR1 et TR2 se bloquent car leur base est

Donc

Lorsque, comme reprsent la figure 41, maintenue environ 0 Volt (Q =

= 5 volts soit le niveau H). = =0

Le sens des courants dans les diodes sont indiqus par les flches bleue et rouge sur la figure 41. entrane Q = 1 et = 1.

Lorsque les deux entres et

et

sont l'tat 1, les deux diodes D1 et D2 sont bloques et les deux entres

n'ont pas d'influence sur le montage.

Les transistors restent dans l'tat o ils se trouvaient prcdemment. Ce sont donc les tats antrieurs Qn - 1 et n - 1 qui sont observs sur Q et = .

On peut dire que la position

= 1 est la position mmoire du montage.

Tout ceci peut se rsumer dans la table de vrit de la figure 42, les tats des sorties l'instant n tant nots Qn et n et les tats l'instant antrieur n - 1 nots Qn - 1 et n - 1.

3. 2. 3. - BASCULE COUPLE CROISE BISTABLE RALISE AVEC DES PORTES NAND a) Description

La figure 43-a reprsente le schma d'une bascule bascule .

portes NAND et la figure 43-b le symbole d'une

b) Table de vrit La table de vrit de cette bascule est reprsent la figure 44.

Elle est bien sr identique celle dcrite pour la bascule lments discrets et vue au chapitre prcdent. c) Fonctionnement La figure 45 montre le fonctionnement d'un tel FLIP-FLOP. Les entres niveau L.

(RESET) et

(SET) sont actives au

d) Chronogramme d'une bascule

portes NAND (figure 46). sont 1.

On suppose au dpart que la bascule est RESET,

et

Ce chronogramme peut tre analys ainsi : l'instant t1 : l'instant t2 : passe 0 ce qui a pour effet de rendre la bascule SET, Q passe 1. repasse 1, ce qui n'a pas d'influence. La bascule reste SET ce qui veut dire qu'elle . passe 1.

mmorise l'action antrieure de l'instant t3 : l'instant t4 :

passe 0 ce qui a pour effet de rendre RESET la bascule, Q passe 0 et

repasse 1 ce qui n'a pas d'effet, la bascule reste RESET ce qui veut dire qu'elle mmorise . passe 0.

l'action antrieure de l'instant t5 : l'instant t6 : l'instant t7 : l'instant t8 : l'instant t9 : l'instant t10:

passe 0 la bascule devient SET, Q passe 1 et passe 1 la bascule reste SET. passe 0 la bascule tant dj SET, elle reste SET. passe 0, passe 1 mais Q reste 1 car

est toujours 0. est rest 0.

passe 1, Q passe 0, la bascule est de nouveau RESET car

passe 1, la bascule reste SET ce qui veut dire que l'action antrieure de

est mmorise.

3.3. -BASCULES DRIVES DES BASCULES COUPLES CROISES 3. 3. 1. BASCULE R.S.C.

a) Description Il s'agit d'une bascule portes NAND dont les entres sont commandes par deux autres portesNAND comme le montre la figure 47. L'entre de commandeCcommune aux deux nouvelles portesNANDpermet de valider les deux entresRet S. Celles-ci sont appelesRet Scar ces entres sont actives l'tat 1.

LorsqueC est l'tat1, les entres Set Rsont valides et la basculeR.S.Cdevient une basculeR-S classique. 1et 1passent l'tat 1quel que soit l'tat des entresSetR.Ainsi, la restent dans

LorsqueCpasse l'tat0, les entres

bascule passe l'tat repos. C'est la position mmoire, c'est--dire que les sortiesQet l'tat o elles se trouvaient avant le passage de l'entreC l'tat0. 1= 1ou

Si les sortiesQet 1 et

taient toutes les deux l'tat1, (

1 = 0), la basculeR.S.C. se porte l'tat 1 (Q = 1 qui est reste la dernire l'tat0.

= 0) ou l'tat0 (Q = 0et

= 1) selon l'entre

b) Chronogramme d'une bascule R.S.C. (figure 48).

l'instant t0 : la bascule est RESET (Q = 0, = 1) l'instant t1 : l'entre SET passe 1 mais comme l'entre de commande C n'est pas 1, la bascule R.S.C. est en position mmoire (c'est--dire qu'aucun changement d'tat des sorties ne se produit). l'instant t2 : S passe 0, il n'y a pas de changement des tats de Q et de

l'instant t3 : R passe 1 mais C n'est pas 1, donc aucun changement d'tat des sorties n'a lieu. l'instant t4 : R passe 0, il n'y a pas de changement des tats de Q et de . passe 0. = 0). passe 1).

l'instant t5 : S passe 1 alors que C est 1, la bascule devient donc SET, Q passe 1,

l'instant t6 : S passe 0, l'tat antrieur de la bascule est mmoris c'est --dire qu'elle reste SET (Q = 1, l'instant t7 : R passe 1 alors que C est de nouveau 1, la bascule devient RESET (Q passe 0 et

l'instant t8 : R passe 0, l'tat antrieur de la bascule est mmoris c'est --dire qu'elle reste RESET (Q passe 0, passe 1). l'instant t9 : S passe 1 alors que C est toujours 1, la bascule devient SET (Q passe 1, l'instant t10 : S passe 0, il n'y a pas de changement des tats de Q et de c) Table de vrit La table de vrit de la figure 49 rsume le fonctionnement d'une bascule R.S.C. . passe 0).

On constate qu' chaque fois queC = 0,la bascule est en position mmoire alors que pourC = 1, la bascule R.S.C. se comporte exactement comme une bascule R-S classique.

3. 4. - BASCULE DE TYPE D OU LATCH (VERROU EN ANGLAIS) a) Description

Les bascules R-S, et R.S.C examines prcdemment possdaient deux entres pour positionner la bascule un tat dtermin. L'une R ou permettait de mettre la bascule 0 (position RESET), l'autre S ou bascule 1 (position SET).

permettait de mettre la

La bascule de type D ou latch est drive de la bascule R.S.C. Elle possde, quant elle, une seule entre D pour positionner les sorties. En effet, on place un inverseur entre l'entre S et l'entre R de la bascule R.S.C. L'entre S devient l'entre D de la bascule de type D dont le schma est reprsent figure 50.

La sortie

devient

. En effet, dans cette bascule, les sorties Q et 1 = 0 et 1 = 1 et

sont toujours complmentaires. = 0). = 1).

Lorsque C = 1 et D = 1, alors Lorsque C = 1 et D = 0, alors

1 = 1. La bascule D se trouve donc l'tat1, (Q = 1 et 1 = 0. La bascule D se trouve donc l'tat0, (Q = 0 et

Lorsque C passe l'tat 0, la bascule reste dans l'tat o elle se trouvait avant que l'entre C ne passe 0,c'est-dire qu'elle est SET ou RESET. C'est la position mmoire, l'entre D n'a dsormais plus d'action sur les sorties Q et .

En rsum, lorsque C = 1, la sortie Q se trouve au mme tat logique que l'entre D. On dit que la sortie Q recopie, reproduit (ou suit) l'entre D (Q = D). Lorsque C passe l'tat0,il y a mmorisation en sortie Q du dernier tat logique prsent la sortie Q donc prsent l'entre D. b) Chronogramme d'une bascule D (figure 51).

l'instant t1 : l'entre de donnes D passe 1 mais cette entre n'est pas prise en compte, en effet, elle n'est pas valide par C (les sorties Q et ne changent pas d'tat.

l'instant t2 : l'entre de donnes D revient 0 mais il n'y a toujours pas d'effet sur les sorties car C = 0. l'instant t3 : l'entre C passe 1 mais comme D est 0, la bascule demeure en position RESET (Q = 0 et = 0) pendant le temps o D est maintenu 1. = 1). = 0)carC = 1 = 1).

l'instant t4 : D passe 1, ce changement d'tat se produisant lorsque C = 1 est recopie sur les sorties de la bascule de telle sorte que celle-ci devient SET (Q = 1

l'instant t5 : D revient 0, ce changement de niveau, intervenant lorsque C = 1, est recopie sur les sorties de la bascule de telle sorte qu'elle redevient RESET (Q = 0 et

l'instant t6 : D passe 1, la bascule redevient SET (Q = 1 et

l'instant t7 : C passe 0, la bascule passe en position mmoire l'instant t8 : D passe 0 mais ce changement d'tat de l'entre D n'est pas pris en compte par la bascule car C = 0. l'instant t9 : C passe 1 et comme D est 0, la sortie Q passe galement 0: la bascule devient RESET (Q = 0 et 1). c) Table de vrit La table de vrit rsumant le fonctionnement tel qu'il apparat l'examen du chronogramme est reprsente figure 52. =

Nous pouvons dduire de cette table de vrit qu' chaque fois que C = 0, la bascule mmorise l'tat antrieur des sorties. Dans le cas oC = 1, la sortieQrecopie l'entreD :la bascule estSETpourD = 1etRESETpourD = 0. Avec la bascule de typeDoulatch,s'achve l'examen des circuits asynchrones. Dans la thorie 5, vous verrez les circuits synchrones et comprendrez mieux la diffrence entre ces deux familles de circuits squentiels. Dans cette thorie, nous allons examiner le fonctionnement de deux bascules parmi les plus utilises : labascule "D" MA TRE ESCLAVE et la bascule "JK" MA TRE ESCLAVE. Ces bascules ont un fonctionnement synchrone comme nous allons le voir prsent. 1. - BASCULES SYNCHRONES Nous savons que la bascule D de type LATCH permet grce l'entre de commande de mmoriser un bit d'information. Cette bascule D de type LATCH fonctionne en mode asynchrone. En effet, lorsque l'entre de commande est au niveau H, l'tat de la sortie suit l'tat de l'entre. Autrement dit, ds que l'entre change d'tat, la sortie change galement d'tat. Or, certains montages numriques ncessitent des bascules dont les sorties commutent un instant bien dtermin. Ces bascules sont synchrones car la prise en compte de l'information, prsente sur leurs entres, s'effectue simultanment lors de la transition d'un niveau logique un autre de l'entre de commande. La prise en

compte des donnes logiques peut se faire soit sur une transition positive (de L H), soit sur une transition ngative (de H L) de l'entre de commande. La figure 1-a reprsente une transition positive (de L H) d'un signal logique tandis que la figure 1-b reprsente une transition ngative (de H L) du signal. Les passages de l'tat haut l'tat bas et vice-versa ne s'effectuent pas de faon instantane que le montrent les figures 1-a et 1-b.

Suivant la technologie employe, le temps mis par un signal logique pour passer d'un tat l'autre peut varier de moins d'une nanoseconde plusieurs centaines de nanosecondes comme nous l'avons vu dans les leons de technologie digitale. La figure 2-a montre une transition positive d'un signal logique suivie d'une transition ngative. On parle alors d'impulsion positive. La figure 2-b reprsente, quant elle, une impulsion ngative d'un signal logique.

L'entre de commande des bascules synchrones s'appelle l'en tre d'horloge (en anglais CLOCK). En effet, le signal appliqu sur cette entre est gnralement fourni par un oscillateur de frquence bien dtermine. Ainsi les ventuels changement d'tats ont lieu des instants prcis et rgulirement espacs dans letemps. La figure 3 reprsente un signal d'horloge fourni par un oscillateur de priode T.

La figure 4 montre le chronogramme d'une bascule synchrone qui mmorise la donne au moment de la transition positive du signal d'horloge.

On s'aperoit que la sortie ne bascule pas toujours chaque transition positive de l'entre d'horloge. En effet, une bascule synchrone dispose, en plus de l'entre d'horloge, d'une ou plusieurs entres d'informations. Suivant le niveau logique de celles-ci, la bascule commute ou reste dans l'tat o elle se trouve. Les bascules synchrones sont conues partir de bascules asynchrones que l'on associe dans la configuration MATRE ESCLAVE. La premire des bascules synchrones est la bascule "D" MATRE ESCLAVE que nous allons examiner prsent.

2. - BASCULE"D" DE STRUCTURE MATRE ESCLAVE 2. 1. - CONSTITUTION ET FONCTIONNEMENT DE LA BASCULE "D" MATRE ESCLAVE La bascule D de structure MATRE ESCLAVE est constitue de deux bascules D verrouillage (ou latch) places l'une la suite de l'autre. La premire est appele MATRE, la seconde est appele ESCLAVE. La figure 5 montre le synoptique d'une bascule D MATRE ESCLAVE.

On s'aperoit que l'entre D de la bascule ESCLAVE est relie la sortie Q' de la bascule MATRE. Cela explique les dnominations MATRE et ESCLAVE affectes la premire et la seconde bascule. En effet, L'entre D de l'ESCLAVE recopie la sortie Q' du MATRE. Toute variation du niveau logique la sortie du MATRE se retrouve donc l'entre de l'ESCLAVE. L'ESCLAVE est bien asservi au MATRE. On remarque que les entres de commande des deux bascules se situent toujours des niveaux logiques opposs. En effet, un inverseur est situ entre les deux entres de validation C' et C. L'entre de commande qui active les entres C' et C est appele ENTRE D'HORLOGE (CLOCK en anglais). En effet, la bascule examine a un fonctionnement synchrone comme vous allez le voir. De l'extrieur, la bascule D MATRE ESCLAVE apparat comme une bascule ayant une entre de donne D (DATA), une entre d'horloge (CLOCK) et deux sorties complmentaires Q et .

Si on ajoute une entre de mise 0 (RESET) et une entre de mise 1 (SET), on aboutit au schma de la figure 6.

Rappelons le fonctionnement d'une bascule D latch. Nous savons que si son entre de commande C est porte l'tat 1, la sortie Q recopie l'entre D. Si l'on met l'entre de commande C l'tat 0, la sortie mmorise le dernier tat logique prsent sur l'entre juste avant la transition ngative de l'entre de commande. La figure 7 rappelle la structure d'une bascule D latch, tandis que la figure 8 montre l'action de l'entre de commande C sur les sorties Q et en fonction de l'entre D.

Lorsque la sortie Q recopie l'entre D, la bascule D latch est transparente (l'tat logique de la sortie Q est le mme que celui de l'entre D). Lorsque la bascule est en position mmoire, elle est verrouille (aucune action de l'entre D sur la sortie Q). Ces deux modes de fonctionnement (transparence et verrouillage) peuvent tre symboliss par un interrupteur qui serait command par l'entre C. Le mode transparence est illustr par la figure 9-a, tandis que la figure 9-b reprsente le mode verrouillage.

Dans le mode transparence, l'interrupteur ferm indique bien que la sortie Q suit l'entre D. Dans le mode verrouillage, l'interrupteur ouvert indique bien que la sortieQ ne suit pas l'entre D. La sortie Q reste l'tat o elle tait juste avant la transition ngative de C. La bascule D MATRE ESCLAVE peut se reprsenter par la mise en srie de deux interrupteurs commands par l'entre d'horloge. Cette reprsentation conduit aux schmas des figures 10 et 11 selon que l'horloge est l'tat 0 ou l'tat 1.

On constate que si l'entre d'horloge de la bascule D MATRE ESCLAVE est porte un tat logique stable (0 ou 1), l'tat de l'entre D n'a aucune influence sur l'tat de la sortie Q. En effet, pour aller de D Q, il y a toujours un interrupteur ouvert. Nous allons voir maintenant que la commutation effective de la bascule ne peut avoir lieu que lors de la transition du niveau L au niveau H de l'horloge.

Pour cela appliquons l'entre d'horloge une impulsion de tension dont la forme est reprsente figure 12-a. Ceci correspond la ralit comme nous l'avons vu prcdemment, les temps de monte et de descente de la tension n'tant jamais nuls. Il faut galement tenir compte du fait que l'inverseur dispos entre les deux entres de commande C et C' possde un seuil de basculement plus bas que celui des autres portes logiques du circuit (figure 12 -a). La figure 12-b reprsente le niveau logique obtenu ainsi sur l'entre de commande C' de la bascule MATRE, tandis que la figure 12-c reprsente le signal logique actif sur la bascule ESCLAVE.

Analysons l'action de l'entre d'horloge sur la bascule MATRE et sur la bascule ESCLAVE: De l'instant t0 l'instant t1, C' = 1 et C = 0. Le MATRE est transparent et l'ESCLAVE est verrouill (voir figure 13).

La bascule MATRE transfre la donne D en sortie Q'. Par contre, la donne Q' n'est pas transfre en sortie Q de la bascule ESCLAVE, car cette dernire est verrouille. A l'instant t1, le MATRE se verrouille et l'ESCLAVE reste verrouill puisque C' = 0 et C = 0 (voir figure 14). L'information binaire prsente sur l'entre D juste avant l'instant t1 se trouve mmorise la sortie Q' puisque C' est passe de l'tat 1 l'tat 0 l'instant t1.

On a donc stock la donne en Q'. Comme l'ESCLAVE est toujours bloqu, celle-ci n'a toujours pas t transmise sur la sortie Q qui reste inchange. De l'instant t1 l'instant t2, rien ne change : la donne stocke l'instant t1 est toujours en Q'. A l'instant t2, le MATRE est verrouill et l'ESCLAVE devient transparent puisque C' = 0 et C = 1 (voir figure 15).

La sortie Q recopie donc l'entre Q'. Il y a donc basculement de la sortie Q qui mmorise ainsi la donne prsente en D l'instant t1. On peut dire aussi que la donne prsente en D l'instant t1 s'est trouve transfre en sortie Q l'instant t2. Le transfert s'est donc effectu sur le front montant de l'horloge. De l'instant t2 l'instant t3, il n'y a pas de changement puisque C' = 0 et C = 1. A l'instant t3, l'ESCLAVE se verrouille, tandis que le MATRE est toujours verrouill. En effet, C' = 0 et C = 0 (voir figure 16. On constate que les sorties Q' et Q ne changent pas d'tat.

De l'instant t3 l'instant t4, le MATRE et l'ESCLAVE sont toujours verrouills et les sorties Q' et Q conservent leur tat logique. A l'instant t4, le MATRE devient transparent puisque C' = 1 (voir figure 17).

La nouvelle donne prsente en D est transfre en sortie Q', mais pas en sortie Q puisque l'ESCLAVE est toujours verrouill (C = 0). On constate donc que lors du front ngatif de l'horloge (de l'instant t3 l'instant t4), la sortie Q ne peut basculer. En rsum, l'ventuel basculement de la sortie Q n'a lieu qu'au moment du front montant de l'horloge (transition du niveau L au niveau H de l'entre CLOCK). Le fonctionnement d'une bascule D Matre Esclave est bien synchrone. Son rle est de mmoriser une donne logique un instant prcis. Cette donne applique en D est prise en compte au dbut du front montant et transfre sur la sortie Q la fin de ce front montant. Un nouveau transfert de l'entre D vers la sortie Q aura lieu lors du prochain front montant de l'horloge. Entre deux fronts montants successifs de l'horloge, il n'y a aucun changement possible de la sortie Q. Il est noter que lorsque la sortie Q bascule, la sortie

fait de mme.

La figure 18 montre les tapes du cheminement de la donne dans la bascule D MA TRE ESCLAVE lors de l'application d'une impulsion d'horloge.

A noter que si l'entre de l'inverseur situ entre les deux entres de commande est connecte en C' et la sortie connecte en C, la bascule D MATRE ESCLAVE ainsi constitue prend en compte la donne prsente en D lors du front descendant du signal d'horloge. C'est le cas de la bascule reprsente figure 19.

Revenons prsent sur la structure dtaille d'une bascule D MATRE ESCLAVE sensible au front montant de l'horloge. Remplaons dans la figure 5 chaque bascule D latch par le schma de la figure 7. Nous aboutissons la figure 20.

L'inverseur plac entre les entres S et R de la bascule ESCLAVE peut tre supprim en reliant R fait, le schma de la bascule D MATRE ESCLAVE devient celui de la figure 21.

'. De ce

2. 2. - FONCTIONS DES ENTRES CLEAR ET PRESET Il reste ajouter ce schma les entres de remise 0 et de remise 1, appeles gnralement CLEAR et PRESET. Celles-ci sont connectes comme le montre la figure 22 qui reprsente donc le schma d'une bascule D MATRE ESCLAVE avec les entres de remise 0 et de remise 1.

Voyons maintenant comment fonctionnent les entres CLEAR et PRESET. 2. 2. 1. - DANS UN PREMIER TEMPS, CONSIDRONS QUE L'ENTRE CLOCK EST AU NIVEAU L Si l'entre CLOCK est l'tat 0, l'ESCLAVE est verrouill. Puisque l'entre de commande C de l'esclave est porte l'tat 0, les sorties des portes NAND5 et 6 se trouvent l'tat 1, quel que soit l'tat de D. L'tage de sortie de la bascule D, compos des portes NAND7 et 8, constitue une bascule RS portes NAND analogue celle examine dans la thorie prcdente. Les schmas des figures 23-a et 23-b sont donc quivalents.

Pour mettre la bascule D l'tat 1 (Q = 1), il faut positionner l'entre CLEAR l'tat 1 et appliquer une impulsion ngative sur l'entre PRESET. Celle-ci est bien l'entre de remise 1 et elle est active l'tat 0.

De mme, pour mettre la bascule l'tat 0 (Q = 0), il faut positionner l'entre PRESET l'tat 1 et appliquer une impulsion ngative sur l'entre CLEAR. Cette dernire est donc bien l'entre de remise 0 et elle est active galement l'tat 0. Si l'on porte les deux entres CLEAR et PRESET l'tat 0, les sorties Q et combinaison des entres CLEAR et PRESET est rarement utilise. sont forces l'tat 1. Cette

2. 2. 2. - DANS UN SECOND TEMPS, CONSIDRONS QUE L'ENTRE CLOCK EST A L'TAT 1 Le MATRE est verrouill puisque l'entre de commande C' est l'tat 0 et l'ESCLAVE est transparent. Positionnons l'entre CLEAR l'tat 1 et appliquons une impulsion ngative sur l'entre PRESET. Comme on le voit sur la figure 22, cette impulsion va faire commuter la bascule RS compose des portes NAND3 et 4 l'tat 1 (Q' = 1 et ' = 0). vont recopier Q' et '. La bascule D va donc

Puisque l'ESCLAVE est transparent (C = 1), Les sorties Q et se porter l'tat 1 (Q = 1 et = 0).

Positionnons maintenant l'entre PRESET l'tat 1 et appliquons une impulsion ngative sur l'entre CLEAR. Cette fois, l'impulsion va faire commuter la bascule RS l'tat 0 (Q' = 0 et Puisque l'esclave est transparent, les sorties Q et l'tat 0 (Q = 0 et = 1). sont forces l'tat 1 vont recopier Q' et ' = 1). '. La bascule D va donc se porter

De mme, si l'on porte les deux entres CLEAR et PRESET l'tat 0, les sorties Q et

par l'intermdiaire des portes NAND7 et 8. Il est noter que dans ce cas l'tat des sorties Q et est identique. On ne peut plus parler alors de sorties complmentaires. Ce cas est donc trs rarement utilis et certains constructeurs le considrent mme comme interdit. De plus, cet tat n'est pas stable. Il ne persiste pas si les entres CLEAR et PRESET reviennent leur tat inactif (c'est--dire 1 dans notre cas). En rsum, quel que soit l'tat logique des entres D et CLOCK, les entres CLEAR et PRESET sont prioritaires et asynchrones, ce qui peut tre rsum par la table de vrit de la figure 24. Les croix X places dans les cases D et CLOCK signifient que l'tat de ces deux entres n'a aucune incidence sur l'tat des sorties de la bascule.

Les deux entres CLEAR et PRESET doivent tre l'tat 1, c'est--dire inactives pour que la bascule puisse commuter sur le front actif du signal d'horloge.

Il est noter qu'il existe des bascules D MA TRE ESCLAVE dont les entres CLEAR et PRESET sont actives l'tat 1. Dans ce cas, ces deux entres doivent tre portes l'tat 0 pour que le signal d'horloge soit actif. Enfin, certaines bascules voient leurs deux sorties Q et passer l'tat 0 (et non pas 1 comme dans le cas prcdent) lorsque les deux entres CLEAR et PRESET sont toutes deux actives. Toutes ces diffrences s'expliquent par des diffrences de constitution technologique.

2. 3. - TABLE DE VRIT ET CHRONOGRAMME Le fonctionnement complet de la bascule D MATRE ESCLAVE examine est rsum par la table de vrit de la figure 25.

Le symbole que l'on peut voir dans la colonne CLOCK de la table de vrit indique une transition positive du signal d'horloge. Dans cette table, les trois premires lignes indiquent que les entres CLEAR et PRESET sont prioritaires et actives sur un niveau bas. La quatrime ligne indique que l'tat logique 0 prsent en D est transfr la sortie Q sur le front montant du signal d'horloge. La cinquime ligne indique que l'tat logique 1 prsent en D est transfr la sortie Q sur le front montant du signal d'horloge. Aux sixime et septime lignes, Q0 et 0 sont les tats logiques que les sorties Q et

ont pris lors du

dernier front actif de l'horloge. Ces tats logiques Q0 et CLEAR et PRESET.

0 ont pu tre imposs par les entres prioritaires

Autrement dit, ces deux dernires lignes de la table de vrit indiquent bien que les sorties Q et pas sur un niveau logique du signal d'horloge mais bien sur un front montant de ce signal. La figure 26 montre un exemple de chronogramme de la bascule D MATRE ESCLAVE examine.

ne basculent

Au dbut de ce chronogramme, l'entre PRESET est mise l'tat 0, donc elle est active. La bascule se trouve par consquent l'tat 1. Puis l'entre PRESET retourne l'tat 1. La sortie Q reste positionne l'tat 1. L'entre CLEAR reoit une impulsion ngative qui fait passer la bascule l'tat 0. Le deuxime front actif de l'horloge n'a pas d'action sur la sortie Q de la bascule puisqu'il a lieu lorsque l'entre CLEAR est active, donc prioritaire. Ensuite, les entres CLEAR et PRESET deviennent inactives puisqu'elles sont portes l'tat 1. La bascule ne pourra dsormais commuter que sur le front positif de l'horloge. Au troisime front actif de l'horloge, l'tat logique prsent en D est l'tat 1. La bascule qui tait l'tat 0 passe donc l'tat 1. Lorsque survient le quatrime front positif, l'entre D se trouve l'tat 0. La bascule commute donc pour passer l'tat 0. Lors du cinquime front montant de l'horloge, la bascule ne change pas d'tat puisqueD se trouve toujours l'tat 0. L'entre D passe l'tat 1 juste avant le sixime front actif de l'horloge. Par consquent, la sortie Q de la bascule passe l'tat 1 lors du sixime front montant de l'horloge.

2. 4. - APPLICATION AU DIVISEUR DE FRQUENCE PAR 2 La figure 27 montre le raccordement effectuer pour transformer une bascule D MATRE ESCLAVE en diviseur de frquence par 2.

, puisque est relie D. Autrement La donne D mmorise en sortie Q lors du front actif de l'horloge est dit, quel que soit l'tat logique de la sortie Q avant le top de l'horloge, la bascule passera dans l'tat logique

complmentaire durant le front d'horloge actif. Ce mode de fonctionnement est appel dans les catalogues de constructeurs TOGGLE. sont une frquence moiti de Avec le chronogramme de la figure 28, on s'aperoit bien que les sorties Q et celle de l'entre d'horloge. Le diviseur de frquence par 2 est trs utilis dans les compteurs lectroniques qui seront examins plus tard.

Aprs la bascule D, examinons prsent les bascules de type JK MA TRE ESCLAVE. 3. 1. - REPRSENTATION SYMBOLIQUE La bascule JK MATRE ESCLAVE est une autre bascule de type MATRE ESCLAVE. Comme le montre la figure 29, la bascule JK possde deux entres notes J et K alors que la bascule D MATRE ESCLAVE n'en possde qu'une seule.

On retrouve l'entre d'horloge CLOCK, les entres de remise 0 et de remise 1CLEAR et PRESET et les sorties complmentaires Q et de la bascule D MATRE ESCLAVE.

3. 2. - STRUCTURE ET PRINCIPE DE FONCTIONNEMENT La bascule JK MATRE ESCLAVE est labore partir d'une bascule D MATRE ESCLAVE. Il suffit d'ajouter un rseau combinatoire sur l'entre D (voir figure 30) pour obtenir la bascule JK.

En fonction de l'tat des entres J et K, la sortie S du rseau combinatoire relie l'entre D de la bascule D MATRE ESCLAVE prsente l'un des quatre tats indiqus la figure 31-a. On est conduit la table de vrit de la figure 31-b qui donne l'tat logique de S en fonction des combinaisons possibles des tats logiques des entres J, K et Q.

Dressons le tableau de Karnaugh (figure 32) pour trouver l'quation la plus simple de S.

Les deux regroupements figurant dans ce tableau permettent de trouver l'quation logique de S suivante : S=J + Q

En utilisant des portes NAND, le circuit combinatoire suivant (figure 33) peut fournir le signal S:

3. 3. - EXAMENDES QUATRE MODES DE FONCTIONNEMENT D'APRS LA TABLE DE VRIT DE LA FIGURE 31-a 1. Si J = 0 et K = 0, alors S = D = Q. Cela signifie que l'tat logique prsent en D est le mme que celui de la sortie Q. Il ne peut donc y avoir de basculement au moment du front actif de l'horloge et l'tat des reste inchang. sorties Q et Si J = 0 et K = 1, alors S = D = 0. L'tat logique que mmorise la bascule JK lors du front actif de l'horloge est l'tat logique 0. C'est la remise 0 de la bascule qui s'effectue donc de faon synchrone par opposition l'entre CLEAR qui elle, est prioritaire et asynchrone. Si J = 1 et K = 0, alors S = D = 1. L'tat logique que mmorise la bascule JK lors du front actif de l'horloge est l'tat logique 1. C'est la remise 1 de la bascule qui est galement synchrone. Si J = 1 et K = 1, alors S = D = l'horloge est celui de la sortie . . L'tat logique qui est mmoris la sortie Q lors du front actif de

2. 3. 4.

et vice-versa. Ce mode de Donc chaque front actif de l'horloge, la sortie Q bascule pour prendre l'tat de fonctionnement dj vu avec la bascule D MATRE ESCLAVE est le mode TOGGLE. C'est le diviseur de frquence par 2, les sorties Q et sont une frquence 2 fois plus petite que la frquence du signal d'horloge.

3. 4. - TABLE DE VRIT ET CHRONOGRAMME Le fonctionnement complet de la bascule JK MATRE ESCLAVE est rsum par la table de vrit de la figure 34. Les trois premires lignes de cette table indiquent que les entres CLEAR et PRESET sont prioritaires et actives sur un niveau bas. Les quatre lignes suivantes correspondent aux quatre modes de fonctionnement examins prcdemment.

Pour illustrer cette table de vrit, examinons le chronogramme de la figure 35.

On remarque sur cette figure que chaque front montant de l'entre CLOCK est affect d'une flche dirige vers le haut. Cela indique que la bascule JK examine commute sur le front montant. On suppose que les entres CLEAR et PRESET sont inactives car on leur applique en permanence l'tat logique 1. Juste avant le premier front actif de l'horloge, les entres J et K sont 0. Donc lors de ce front, la bascule ne commute pas et la sortie Q reste dans l'tat o elle se trouve, c'est--dire ici l'tat 0. Avant l'application du second front montant de l'horloge, l'entre J passe l'tat 1. La sortie Q passe donc l'tat 1. Au troisime front actif de l'horloge, J = 1 et K = 0. La bascule qui tait l'tat 1 reste dans cet tat. Au quatrime front actif de l'horloge, J = 0 et K = 1. La bascule commute pour passer l'tat 0. Au cinquime front actif de l'horloge, J = 1 et K = 1. La bascule commute donc pour passer l'tat complmentaire de l'tat prcdent, soit l'tat 1. C'est le mode TOGGLE. Au sixime front actif de l'horloge, J = 1 et K = 1. La bascule commute de nouveau pour passer l'tat 0 (TOGGLE). Au septime front actif de l'horloge, J = 0 et K = 1. La bascule qui tait l'tat 0 reste dans cet tat. Au huitime front actif de l'horloge, J = 0 et K = 1. La bascule reste l'tat 0. Au neuvime front actif de l'horloge, J = 1 et K = 0. La bascule passe donc l'tat 1. Au dixime front actif de l'horloge, J = 0 et K = 0. La bascule ne change pas d'tat et reste donc l'tat 1. C'est la position mmoire.

3. 5. - DIFFRENTS TYPES DE BASCULES JK Contrairement la bascule JK dcrite prcdemment, une majorit de bascules JK sont sensibles aux fronts descendants ( ) du signal d'horloge et non pas aux fronts montants ( ).

On trouve aussi des bascules JK MATRE ESCLAVE dont le transfert de la donne s'effectue en deux temps. Sur le front montant de l'horloge, on mmorise la donne dans le MATRE, puis celle-ci est transfre la sortie de l'ESCLAVE sur le front descendant. Dans les tables de vrit de ces bascules, ce mode de fonctionnement est signal dans la colonne affect l'entre CLOCK par le symbole 4 Comme dans le cas de la bascule D, les entres PRESET et CLEAR peuvent tre actives l'tat 0 ou l'tat 1 selon la constitution interne de la bascule. Il existe galement des bascules JK entres multiples. La figure 36 en reprsente une qui possde six entres notes J1, J2, J3, K1, K2, K3. Le fonctionnement d'une telle bascule est analogue celui d'une bascule JK classique. Il suffit de remplacer J et K par : J = J1 . J2 . J3 K = K1 . K2 . K3

Ce type de bascule servait raliser des compteurs. Depuis que ceux-ci sont disponibles sous forme de circuits intgrs, les bascules JK entres multiples ne sont plus employes.

4. - PARAMTRES DYNAMIQUES D'UNE BASCULE SYNCHRONE Le constructeur dfinit un certain nombre de paramtres dynamiques que l'on doit respecter pour obtenir un fonctionnement correct du circuit utilis. 4. 1. - TEMPS DE PRPOSITIONNEMENT (SET UP TIME EN ANGLAIS) D'UNE DONNE SUR UNE ENTRE DPENDANTE DE L'HORLOGE Le temps de prpositionnement est le temps minimal pendant lequel la donne prsente sur l'entre doit rester stable avant le front actif du signal d'horloge pour que celle-ci soit reconnue. Si ce temps n'est pas respect, la donne ne sera pas prise en compte par le circuit. La figure 37 illustre le temps de prpositionnement (tSET UP) lorsque la donne mmoriser est au niveau L.

V ref correspond la tension de basculement des portes du circuit : V ref = 1,5 V en technologie TTL standard. V ref = 1,3 V en technologie TTL - LS. V ref = VDD / 2 en technologie C.MOS, VDD tant la tension d'alimentation du circuit. La figure 38 illustre le temps de prpositionnement lorsque la donne mmoriser est au niveau H.

Les deux chronogrammes des figures 37 et 38 sont souvent runis en un seul dans les catalogues de constructeurs, comme le montre la figure 39.

Les priodes hachures indiquent que la donne peut varier d'un niveau l'autre sans qu'il y ait d'influence sur le comportement du circuit.

4. 2. - TEMPS DE MAINTIEN (HOLD TIME EN ANGLAIS) D'UNE DONNE SUR UNE ENTRE DPENDANTE DE L'HORLOGE Le temps de maintien est le temps minimal pendant lequel la donne prsente sur l'entre doit rester stable aprs le front actif de l'horloge pour que cette donne soit reconnue. La figure 40 illustre le temps de maintien (thold) lorsque la donne mmoriser est au niveau L.

La figure 41 illustre le temps de maintien lorsque la donne mmoriser est au niveau H.

Les deux chronogrammes des figures 40 et 41 peuvent, de la mme faon que prcdemment, tre runis en un seul, comme le montre la figure 42.

Dans les catalogues de constructeurs, les deux chronogrammes qui reprsentent les temps de prpositionnement et de maintien sont regroups en un seul, comme le montre la figure 43.

4. 3. - TEMPS DE PROPAGATION D'UNE ENTRE A UNE SORTIE 4. 3. 1. - TEMPS DE PROPAGATION "tpLH" Le temps de propagation tpLH est le temps qui s'coule entre l'instant o l'entre de commande devient active et l'instant o la sortie passe du niveau L au niveau H. L'entre de commande peut tre l'entre d'horloge, l'entre CLEAR ou l'entre PRESET. Ce temps not tpLHest spcifi pour une entre donne (CLOCK, CLEAR ou PRESET) et une sortie donne (Q ou En pratique, ce temps correspond au retard apport par les portes internes du circuit. La figure 44 illustre le temps tpLH. ).

4. 3. 2. - TEMPS DE PROPAGATION tpHL Le temps de propagation tpHL est le temps qui s'coule entre l'instant o l'entre de commande devient active et l'instant o la sortie passe du niveau H au niveau L. La figure 45 illustre ce temps tpHL.

4. 4. - FRQUENCE MAXIMALE DE L'HORLOGE Cette frquence limite de fonctionnement fmaxest due au retard apport par les portes du circuit. Elle correspond une priode minimale 1 / fmax du signal d'horloge comme l'indique la figure 46.

Aprs avoir examin les principes de fonctionnement et les caractristiques des bascules D et JK, faisons un bref tour d'horizon des circuits intgrs disponibles sur le march.

Vous aimerez peut-être aussi