Vous êtes sur la page 1sur 104

FIABILITÉ DES MICROSYSTÈMES

Francis PRESSECQ - CNES

1 Reproduction interdite © CNES - DCT/AQ/LE 29/02/2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À L'ÉVALUATION DE
LA FIABILITÉ
EXEMPLES D’ANALYSE DE DÉFAILLANCE
CONCLUSION

2 Reproduction interdite © CNES - DCT/AQ/LE 29/02/2016


MEMS ET FIABILITE : LES POINTS DE DÉPART

• DE GRANDES PROMESSES :

– TRES FORTE DIMINUTION DES DETRACTEURS PRINCIPAUX DE


LA FIABILITE :
CONNECTIQUE/ASSEMBLAGE

• TENDRE VERS LES AVANTAGES DE LA MICROELECTRONIQUE

– PRODUCTION DE MASSE
– PROCEDES REPRODUCTIBLES
– COMPREHENSION PHYSIQUE ET STATISTIQUE

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MEMS et FIABILITE : les points de départ

Des centaines de composants Système sur une puce


Assemblage manuel Etat solide

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FIABILITE DES MEMS : ANALYSE DU PROBLÈME

CONSTAT
• MECANISMES DE DEFAILLANCE PLUS VARIES QUE CEUX DE LA
MICROELECTRONIQUE

BEAUCOUP DE DOMAINE : MECANIQUE, OPTIQUE...

NECESSITE
• CARACTERISATION ET COMPREHENSION DE CES MECANISMES DE
DEFAILLANCE

BESOIN

• CLASSIFICATION DES MEMS : tous les MEMS ne sont pas égaux vis à vis
de la fiabilité
• TECHNIQUES DE QUALIFICATION : tronc commun et spécificités par
domaine physique

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


CLASSIFICATION DES MEMS/MECANISMES DE DEFAILLANCE

Class 1 Class 2 Class 3 Class 4


Pas ou peu de mouvement sans mouvement, mouvement, contact,
mouvement contact contact, impact impact, frottement

Accéléromètre, Gyroscope, Relais, Switch optique,


senseur de filtre, pompe, discriminateur,
pression, jet valve... verrous...
d’encre…

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


CLASSIFICATION DES MEMS/MECANISMES DE DEFAILLANCE

Class 4
Class 1 Class 2 Class 3
mouvement,
Pas ou peu de mouvement sans mouvement,
contact, impact,
mouvement contact contact, impact
frottement

Particule, Particule, Particule, Particule,


contamination, contamination, contamination, contamination,
choc/collage choc/collage, choc/collage, choc/collage,
fatigue fatigue, fatigue,
dommage/impact dommage/impact,
stiction, usure

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


LES MECANISMES DE DEFAILLANCE

• MATRICE PRODUIT/FIABILITE (SANDIA)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APPROCHE DE LA FIABILITE DES MEMS DOMAINE

CONCEPTION OPERATION
MISSIONS
ESSAIS
PERFORMANCE
FIABILITE

TECHNOLOGIE
+
MATERIAUX
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
MEMS RELIABILITY STATUS (1)

Digital Light Processing (DLP)


Technology advantages

Texas Instruments DLP

Size 10x10µ m2
Gap 1µm

High contrast > 1:3000

Better image definition


thanks to the 1µm gap

Texas Instruments (USA)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016 10


MEMS RELIABILITY STATUS (2)

• Digital Light Processing (DLP)

o Each mirror is a pixel


o Each mirror is driven individually
(±10°)
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016 11
MEMS RELIABILITY STATUS (3)

Digital Light Processing (DLP) reliability


Very high reliability achieved
Each failed pixel has to last all the system lifetime
1024x768 x 3 (RGB colors) = 2,35 Mn Mirror / system
Operating frequency: few kHz
Lifetime > billion cycles
Consumer environment + high temperature
Reliability greater that first LCD displays
At high technology cost
Complex optoelectronic packaging
Hermetic and atmosphere optimized for low surface adhesion
At highest development cost of the MEMS history
More than 12 years of R&D before first sale
Several tens of engineers and hundreds of process batches

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016 12


MEMS RELIABILITY STATUS (4)

Reliability proven area : sensors, smart sensors, ...


High volume production (ADXL accelerometers)
More than 20 years experience (sensors)
Stabilized function, simple process (homogenous)

Standard qualification plan


Others areas
New concepts
Convergence between different physical domains
Low volume production
Unstabilized processes (process changes occur very often)

- process evaluation
- product evaluation
- additional screening and qualification

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MEMS RELIABILITY STATUS (5)

Where to go ?
Design
Mandatory to propose new ideas to space community
But do not mismatch concept and final product !
Reliability and test
The goal is to achieve the level of confidence obtained in EEE
components
Mandatory to perform phases described in previous slide
Blocking points
Technologies, except for standard products, are not mature
Reliability figures generally presented has a limited interest due to
technology weaknesses…
Volume production for space community…

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MEMS RELIABILITY STATUS (6)

Purpose :
• Qualification / Aging tests Functional tests
Accelerated aging tests
Qualification plan (elaboration or
execution)
Capabilities
Qualification following “High-Rel”
norms
For Aerospace, Automotive,
Military, consumer, etc.
Quality evaluation for a particular
mission profile
Qualification for new applications

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MEMS RELIABILITY STATUS (7)

• Qualification approach
MEMS for high reliability applications

COTS Specific
technology technology

Back box Technological analysis Qualification of


qualification Product line
Adapted qualification
Product Reliability
Lifetest Lifetest assessment

Reliability assessment

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À L'ÉVALUATION DE
LA FIABILITÉ
EXEMPLES D’ANALYSE DE DÉFAILLANCE
CONCLUSION

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


QUELQUES APPROCHES DE FIABILITÉ

• Approche boîte noire


• Approche technologique
• Approche filière
• Approche fiabilité/conception basé sur physique des
défaillances (PoF, Physics of Failure)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche "boite noire"


• on ne se préoccupe pas du contenu du microsystème, de sa technologie :
on ne connaît rien a priori
• on n'observe que ses entrées/sorties
• on applique les stimuli / contraintes correspondant à un profil mission
(avec une marge)
Résultats de type GO/NOGO
Avantages :
• approche simplifiée de type "acheteur" : mise en œuvre, clarté des
résultats
• approche équipement
Inconvénients :
• Impossibilité de connaître la durée de vie, donc les marges
• Pas de possibilité d'effectuer un screening

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche "boite noire" (suite)


Conclusion :cette approche est valable dans les cas suivants
• microsystème intégrant la notion de "qualité construite"
• microsystème produit en grande quantité pour un domaine d'application
proche du domaine cible :
notion de rendement
connaissance des types de défauts
l'analyse de la fiabilité de chaque étape a été faite par le fabricant
• cette approche est comparable à ce qui est fait pour les produits issus du
monde de la microélectronique, il faut donc que les mêmes hypothèses
soient valables !

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


ILLUSTRATION DE LA DÉMARCHE « BOITE NOIRE » : CAS DE L'ADX150

5V

1
NC Vs
NC NC
NC NC
NC NC
TP Vout
NC ST 3
Masse Zero g ADJ

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


ILLUSTRATION DE LA DÉMARCHE « BOITE NOIRE » : CAS DE L'ADX150

2,58
2,4

2,56 A plat (OG) 2,36


Vertical positif (1G)
Vertical négatif (-1G)
Tension de sortie (V)

Tension de sortie (V)


2,32
2,54

2,28

2,52
2,24 ADXL alimenté
ADXL2 non alimenté
ADXL3 non alimenté
2,5 2,2

2,16
2,48
0 10 20 30 40 50 60
0 20 40 60 80 100
Dose d'irradiations (kRad)
Température (°C)

Tests en température Tests en radiation

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche technologique
cette approche a pour but de consolider l'approche boîte noire en travaillant
sur les inconvénients de cette dernière.
connaissance de la technologie par des caractérisations poussées (jusqu'à la
retro ingénierie si nécessaire) :
comprendre les grands principes de fonctionnement
comprendre/reconstituer les étapes technologiques
comprendre les assemblages
l'étape précédente permet :
d'identifier les mécanismes de défaillance potentiels
de connaître, par un calcul d'ingénieur, les points critiques
d'ajuster la séquence de test à appliquer ==> notion de screening possible
une évaluation de type "boîte noire" peut suivre.

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche technologique (suite)


Avantages :
connaissance du produit
connaissance a priori des problèmes potentiels
interprétation des résultats (par rapport à la connaissance a priori des
mécanismes de défaillance)
dimensionnement adéquat des essais : vers la notion de screening
Inconvénients :
approche coûteuse, voire très coûteuse en fonction de la finesse des
résultats désirés
analyses à renouveler dans le temps pour suivre les évolutions
technologiques
Conclusion :
Cette approche est un excellent complément (indispensable) pour
interpréter les résultats obtenus lors d'une approche "boîte noire" lorsque
toutes ses hypothèses ne sont pas remplies (même domaine d'application
par exemple).
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
ILLUSTRATION DE LA DÉMARCHE « TECHNOLOGIQUE » : RETOUR
SUR LE CAS DE L'ADXL150

Principe de fonctionnement :
• Principe de mesure capacitif
• Pas d’asservissement en position

Image (microscope optique)

Défaillance:
Collage de la partie mobile

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


ILLUSTRATION DE LA DÉMARCHE « TECHNOLOGIQUE » : RETOUR
SUR LE CAS DE L'ADXL150

Coupure FIB

Mesures MEB

Nanoindentation
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
ILLUSTRATION DE LA DÉMARCHE « TECHNOLOGIQUE » : EXEMPLE
DE LA DICHOTOMIE FONCTIONNELLE

Test vehicle 1
• Elementary actuator

Test vehicle 3
• Study of
sliding
contacts

Test vehicle 2
• Elementary electrical
contact

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICLE 1 : THERMAL ACTUATOR

Behavior of a single actuator

Finite element simulation result


Deformation of a thermal actuator

Correlation between reality & simulation


Outputs : Maximum rating
Basic failure mechanisms
Fatigue testing

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICLE 1 : SOME DATA ...

Basic functional characterization :


100 90
80

Force dégagée (µN)


Puiss ance (mW)

80
70
Puissance
60 Mode de de rupture
60
déflection arrière 50
40 Puissance 40
de fusion 30
20 Mode de
20
déflection avant
10
0
0
1 2 3 4 5 6 7
20 25 30 35 40
Actionneur N°
Puissance (mW)

Functional modes characterization Elementary actuator


force versus power

• Reliability :
– 1 main failure mechanism : thermal fatigue
– 6 failure modes (from basic functional characterization)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICLE 2 : ELEMENTARY CONTACT

Study of the elementary contact : Design of a specific structure (spring)


allowing in situ force measurements

F = k.d
Extraction of spring stiffness
by finite element analysis Contact force measure test structure

Contact shape and material characterization


Outputs : Maximum current handling / force
Quality of the contact

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICLE 2 : CONTACT QUALITY

SEM view of the contact

Ω)
Résistance (Ω
250

200

150

Contact resistance 10 0

versus force and current 50

0
5µN
50µN

3
-0

3
300µN

-0

2
0
E

2
E-

0
00

2
E-

-0

2
00

0
00

-0
3,

2
E-
E
20

2
Force (µN)

-0
6,

50
9,

02
-0
80
1,

10

E
1,

E-
40
1,

70
2,
Courant (A)

00
2,

2,

3,
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
TEST VEHICLE 3 : SLIDING CONTACTS

Study of sliding contacts : How the materials will wear in different


environments ?
Example of sliding phenomena study

Electrostatic motor

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche filière
approche longtemps utilisée dans le domaine de la haute fiabilité (spatial et militaire).
Elle repose sur :
une évaluation/qualification a priori des procédés de fabrication (y compris l'assemblage) sans
regarder un produit en particulier : études des étapes élémentaires, détermination des
mécanismes de défaillance associés...
un formalisme qualité fort... et pointilleux (changement de procédé, documentations...)
la définition de véhicules de test représentatifs pour suivre les évolutions et/ou reconduire les
qualifications
la définition d'un domaine de validité : ce domaine défini indirectement les produits pouvant être
réalisés
avantages
chaque procédé est connu et documenté : connaissance a priori des mécanismes de
défaillance et des éléments associés (énergie d'activation, modélisation,...)
si le travail amont est correctement fait, connaissance de la durée de vie possible à partir
d'essais sur le produit fini.
bon résultats même sur des petites séries

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉMARCHES D'ÉTUDE/D'ÉVALUATION DE LA FIABILITÉ

Approche filière
inconvénients
accès à la technologie
approche très très coûteuse et lourde (suivi)
Conclusion
Cette approche est appropriée pour les petites productions où l'effet de
volume n'est pas présent pour obtenir des chiffres de fiabilité. Elle peut
être appliquée pour des marchés de niche. Bien souvent, cette approche
est déjà réalisée en interne par le fabricant lors de la mise au point de ses
filières.

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICULES (TV)

To make an object reliable, we have choosen to study process reliability


with six test structures

1 - Thicknesses verification

Procedure :
- FIB (Focused Ion Beam) microsections
- SEM (Scanning Electron Microscopy) measure

2 – Adhesion strength

Procedure :
- Scratch test using a nanoindentor

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICULES DESIGN

3 – Gradient stress evaluation

2 zE
∆σ =
L2
Procedure :
- Cantilever deflection measure (z) with optical profilometer

4 – Compression stress evaluation

π 2 .E .I
Fcrit =
(0,5.Lcrit )2
Procedure :
- Critical length (where bridge
becomes flat) measure

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EXPERIMENTAL RESULTS

Important negative (upward bending) stress gradient

→ Impact on results of test structures N°4 and 5


→ Impact on the mechanical behaviour of the structures

When structures are


released, strain relaxation
generate bending

Effect of stress gradient on Test structures

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EXPERIMENTAL RESULTS

Results obtained for compressive stress are very dispersed

→ Probably due to high stress gradient which lead to a bend


of the beam's width

Comparison between flat (on the left) Effect of stress gradient on bridges
and buckled bridges (on the right)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


TEST VEHICULES DESIGN

5 – Tensile stress evaluation

t 2 .π 2 1
ε crossbeam = .
3.(2.Rcrossbeam ) G
2

G ∝ geometrical parameters

Procedure : - Critical ring (where crossbeam becomes flat) measure

6 – Tensile stress evaluation (alternative method)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EXPERIMENTAL RESULTS

Stress gradient is so important that it affects the flexure of the microrings

→ Tensile stress cannot be measured properly

Effect of stress gradient on Guckel ring

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FIABILITÉ ET CONCEPTION

En microélectronique, la conception impacte la fiabilité au 2ème


ordre, c'est à dire au niveau fonctionnel : métastabilité, bus flottant,...
Pour les microsystèmes, une bonne conception EVITE certains
problèmes de fiabilité : choix des formes géométriques, diminution
des contraintes, ....
• solutions "design" pour les phénomènes de collage
• solutions "design" pour diminuer les contraintes ===> fatigue
Utilisation de la méthodologie basée sur la Physics of Failure (PoF)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APPROCHE FIABILITÉ/CONCEPTION

• Physique de la défaillance :
– Utilisé depuis longtemps dans les domaines de la mécanique, du
génie civil,...
– A été appliqué avec quelque succès a des assemblages
électroniques (CALCE, Univ. of Maryland)
– Evalue la durée de vie de composants basée sur des simulations
– Avantages
• Introduit la fiabilité dans le processus de conception
• Augmente la fiabilité
• Réduit l’effort pour le test
• Permet la compréhension et la modélisation des mécanismes de
défaillances

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APPROCHE FIABILITÉ/CONCEPTION

• Souhaite évaluer la fiabilité par des moyens de simulation


• Problème:
Les mécanismes de défaillance des microsystèmes ne sont pas très
bien compris
• Développement des modèles = combinaison des techniques de
modélisation et de caractérisation, ainsi que des tests
environnementaux

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APPROCHE FIABILITÉ/CONCEPTION

Modèle pour
Connaissances
notre approche Modélisation
sur la défaillance
de fiabilité

Outils de modélisation
Mécanismes de défaillance • Modélisation FEM
• Collage (Microscope,...) Informations sur le produit • Modélisation
• Electromigration comportementale
• Géométrie (MEB,…)
• Usure (MEB,...) (VHDL-AMS)
• Propriétés des matériaux (Nanoindentor)
• ... • Fonctionnel (Tests environnementaux,...)
• ...

Outils d’analyse

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APPROCHE FIABILITÉ/CONCEPTION

Développement des modèles

C alculated area
Real area Simulations FEM
8
7
20°C
6
40°C

height [µm]
5 60°C
4 80°C
3
2
1
0
0 100 200 300 400 500 600

Analyses technologiques length [µm]

• Géométrie
Tests de fiabilité (Tests
• Propriétés des matériaux --------------------------------------------------------------------
-- Resistor environnementaux…)
---------------------------------------------------------------------
LIBRARY DISCIPLINES;
USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;

ENTITY resistor IS
GENERIC (resistance : REAL);
PORT (TERMINAL p,m : ELECTRICAL);
END resistor;

Modèle comportemental

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EXAMPLE OF THE RF SWITCH

Gold

Dielectric
300-60

30000

25000

20000

15000

10000

5000

Switch Profile 0

-5000
0 50 100
lines
150 200 250 300 350 400

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


GAP IN FUNCTION OF T

6
Gap [µm]

5 h20
h25
4
i19
3
j19
2 j25

0
0 20 40 60 80 100 120 140
Temperature [°C]

Variation of the gap : 3.33um < ∆Z < 4.67um


i bridges: same as h bridges, signal line is larger
j bridges: shorter and larger than i and h bridges, signal line width between i and h

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


BEHAVIORAL MODEL PROPOSAL (1)

5
y
20 °C
4
80 °C x
3 120 °C P P
2

1
θmax
0
198
212
227

241
255

269
283
298
312
326
340
354
369
383
397

411
425
Buckling fixed-fixed beam
Boundary ? Boundary ?

d 2θ P 4β θ max
2
+ sin θ = 0 ymax = with β = sin
ds EI P 2
EI
E – Young’s Modulus I - Moment of Inertia

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


BEHAVIORAL MODEL PROPOSAL (2)

8
Gap [µm]

6 h20
Modelling
4 j25
2 i19
0
20 40 60 80 100 120
8
Temperature [°C]
7

6
Gap [µm]

5 h20
4 i19
j25
3

2
1
Experiments
0
20 40 Temperature
60 [°C]
80 100 120

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


VPULL-IN (T)/ VPULL-OUT (T)

100
80 Vpi c4
Voltage [V]

60 Vpo c4
40 Vpi b5
20 Vpo b5
0
0 20 40 60 80 100
Tem perature [°C]

Dimensions:
pull-in Increase : ∆V=50V
Vpull-
c4: larger signal line than b5

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


VPULL-IN

100
80

Voltage [V]
60 Vpi c4
40 Vpi b5
140
20
0 120
0 20 40 60 80 100

Pull-in Voltage [V]


100
Te m pe rature [°C]
Pull-in Voltage: 80 b5
c4
60
8k
Vp = g3 40

27ε 0 wb we 20

0
0 20 40 60 80 100
Tem perature [°C]

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


QUELQUES ÉLÉMENTS DE RÉFLEXION...

Dans le démarche qualité/fiabilité, doit on assimiler un microsystème


à un composant ou à un système ???
But des essais :
• Evaluer les potentialité d'un produit, d'une technologie ???
• Connaître la durée de vie d'un produit ???
• Sélectionner un produit, un lot (notion de screening) ???

Lorsque l'on étudie la fiabilité d'un microsystème :


• Quel stimuli doit on appliquer : le stimuli de l'application cible ou le stimuli
pour "casser" ???
Connaît on les mécanismes de défaillance élémentaires pour la détermination
des tests à réaliser ???
Si le microsystème tombe en panne lors des essais :
• a t-on la possibilité de s'en apercevoir ???
• a t-on la possibilité d'analyser la défaillance, de la comprendre ???

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


QUELQUES ÉLÉMENTS DE RÉFLEXION...

Etude de la fiabilité ≠ Support développement filière :


• la fiabilité d'un produit s'étudie lorsque le procédé est stabilisé
• chaque changement de procédé peut remettre en cause les résultats
obtenus

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À L'ÉVALUATION DE
LA FIABILITÉ
EXEMPLES D’ANALYSE DE DÉFAILLANCE
CONCLUSION

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APERÇU DE QUELQUES MÉCANISMES DE DÉFAILLANCE

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


LE FLUAGE

Définition : c'est une déformation progressive permanente d'un


matériaux soumis à un chargement mécanique permanent.
fluage = fonction (contrainte, temps, température)
Le fluage intervient pour des température supérieure à 0.3 Tf, Tf étant la
température de fusion exprimée en °K (pour les structures macroscopiques)

Exemple de courbe de fluage

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


LE FLUAGE

• Le fluage primaire : pendant cette première période, la vitesse de


fluage décroît avec le temps
• Le fluage secondaire : pendant cette deuxième période, la vitesse
de fluage est sensiblement constante
• Le fluage tertiaire : pendant cette troisième période, la vitesse de
fluage augmente rapidement jusqu'à la rupture
On appelle vitesse de fluage la vitesse de la déformation.
• A basse température, les fluages primaire et secondaire sont
prépondérants. A haute température, le fluage secondaire s’établit
rapidement et le tertiaire prend plus d’importance.

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


LE FLUAGE

Modélisation

 Q  σ n
ε& = A p exp − 
d  RT 
où : σ est la contrainte appliquée
n est l'exposant de contrainte
d est la taille des grains
p est l'exposant de taille de grains
Q est l'énergie d'activation
R est la constante des gaz parfait
T est la température absolue
A est une constante
A, n et p sont des constantes caractéristiques du matériau

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APERÇU DE QUELQUES MÉCANISMES DE DÉFAILLANCE

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FATIGUE

Définition : La fatigue est un mécanisme de défaillance lié aux efforts


mécaniques répétés subis par le matériau, qui tend à diminuer sa
résistance à la rupture en fonction du temps. La fatigue est souvent
initiée par une concentration de contraintes.
Fatigue

Fatigue de structures sans fissures Fatigue de structures fissurées

Des fissures sont présentes.


Il n’y a pas de fissures préexistantes. La rupture
La rupture est contrôlée par la
est contrôlée par la phase d’initiation
phase de propagation

Fatigue à grand nombre de cycles Fatigue oligocyclique

Les contraintes appliquées sont


Les contraintes appliquées sont
supérieures à la limite élastique.
inférieures à la limite élastique.
La rupture se produit après moins
La rupture se produit après plus de 104 cycles.
de 104 cycles.

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FATIGUE

Nombre de cycle fonction :


• de la nature du matériau
• de la forme et des dimensions du composant
• du mode de sollicitation
• des conditions physiques et chimiques définissant
l'environnement du composant
• de la loi de variation de la contrainte définie par : Fracture induite par
une microfissure
sa fréquence
la valeur de la contrainte moyenne
l'amplitude de l'effort moyen autour de sa valeur moyenne

Attention à la comparaison des résultats sur les microstructures !!!

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FATIGUE

Modélisation : courbe de wölher ou S-N


C'est un diagramme reliant la contrainte appliquée au nombre de cycle à
rupture NR

p
a
R 1 ∆ε ⋅ N = C
loi de Coffin-Manson
Log (amplitude de déformation) ε

∆σ ⋅ N = C2 b
R
régime faible régime fort nb
cycles
nb cycles loi de basquin
a,b,C1,C2 constantes

∆σ variation de la contrainte
n+2 n+4 n+6
1 10n 10 10 10
∆ε p déformation plastique imposée
Nb de cycles à rupture

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FATIGUE

Application à une couche de silicium polycristallin d'épaisseur 2 µm à


40 KHz à l'air ambiant

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FATIGUE

Facteurs influençant les phénomènes de fatigue


• L'état de surface :
Concentration des contraintes
Formation de microfissures
• Les contraintes internes :
Contraintes résiduelles viennent s'ajouter ou se soustraire à la contrainte
appliquée
• Les efforts antérieurement subis
• La fréquence des efforts
• Les dimensions du composant
• L'environnement :
Humidité -> corrosion
Température -> caractéristiques

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


APERÇU DE QUELQUES MÉCANISMES DE DÉFAILLANCE

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


STICTION

Définition : contraction des mots anglais "static friction" qui signifie


friction statique
Mécanisme de défaillance prépondérant
Mode de panne : collage permanent de microstructures mobiles avec
le substrat ou avec des surfaces adjacentes
Mécanisme physique : affaissement mécanique de la ou des
structures mobiles induit par des forces externe (chocs accidentels,
tension d'actionnement supérieure à la tension d'effondrement ou de
pull-in) couplé aux forces d'adhésions intersurfaciques (forces de
capillarité, Van der Waals,...). Deux phases :
• phase d'attraction de la microstructure
• phase d'adhésion
Quand ?
• lors de la fabrication : release stiction
• en cours de fonctionnement : in-use stiction

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


STICTION

Exemple

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


STICTION

Différents types de forces générant de la stiction


• forces de Van der Waals : attraction interatomique
• forces électrostatiques : particules chargées
• forces de capillarité : création de liaisons de covalence entre deux
surfaces lors du séchage

Comparaison des différentes forces d'attraction par 1µm²


en fonction de la distance de séparation entre deux surfaces

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


RÉDUCTION DE LA "RELEASE STICTION"

Méthode "freeze-drying"
• solidification de la solution de rinçage puis sublimation pour éviter la phase
liquide
• contraintes subies par les structures très fortes lors de la solidifcation
Séchage CO2 supercritique
• remplacement progressif de la solution de rinçage par du CO2 liquide haute
pression (120 bars), l'échantillon est alors porté au point critique du CO2 ou
les phases liquides et gazeuses sont indissociables.
Gravure sèche
Revêtements hydrophobes

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


RÉDUCTION DE L' "IN-USE STICTION"

Réduction des surfaces en contact :


• limitation des forces par augmentation de la rugosité
• utilisation de dimple (plots de surface réduite)
Revêtement de monocouche à faible énergie d'adhésion
• revêtements fluorés

Diminution du risque de collage


par conception : ajout de guide latéral
Diminution du risque de collage
par conception : ajout d’amortisseurs
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
APERÇU DE QUELQUES MÉCANISMES DE DÉFAILLANCE

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


DÉGRADATION DU DIÉLECTRIQUE

Membrane Au

+ + + +
E Si3N4 Si3N4
e- e- e- e- e- e- e- e-
Pièges à électrons par charges d'interface
dues à des liaisons non compensées
Electrode Au Electrode Au

Si3N4 e- Si3N4
e-
Pièges à charges constitués de
dislocations e- e-
Electrode Au Electrode Au

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EXEMPLE D'UN MICROSWITCH CAPACITIF

16
14
Temps de contact (s)

12
10
8
6
4
2
0
28,7 32,7 33,5 38,7 40 45
Tension d'actuation DC (V)

+25V

Exemple d'utilisation d'une


tension d'actuation bipolaire
-25V
ON OFF ON

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À
L'ÉVALUATION DE LA FIABILITÉ
EXEMPLE D’ANALYSE DE DÉFAILLANCE
CONCLUSION

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


LES OUTILS D'AIDE À L'ÉVALUATION DE LA FIABILITÉ

Outils d'analyse et de caractérisation


• outils de la microélectronique : SEM, FIB, ...
• outils spécifiques ou dérivés :
• nanoindenteur
• profilométrie optique
• microscopie confocale
• outils de test (testeur)
• matériels généralement sur mesure

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


PROFILOMÉTRIE OPTIQUE

Exemple d’une membrane

• Chambre environnementale
– Vide (10-5 Torr)
– Pression (jusqu’à 3 bars N2, air sec)
– Température: de -40 à 160°C

• Test électrique
– Connexions électriques (herméticité assurée)

• Observation
– Observation par hublot qualité optique

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EMA – ENVIRONMENTAL MEMS ANALYZER

Dynamic MEMS testing in harsh environment

OPERATION OBSERVATION

Mechanical parts move,


observation is
optical and electrical
Precise control signals
have to be applied

Characteristics
ENVIRONMENT change with
environment

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EMA - OBSERVATION

Full-field profilometer with still sample


Fast & reliable
Allow complex set-ups

Vibrometer
Reconstitution of the 3D movie of motion
Automatic recognition of mechanical resonant
frequencies

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EMA – WAFER LEVEL TESTING

Environmental probe testing


Wafer level
High actuation range :
up to 200V
High temperature range:
Tambiant – 125°C

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MICROFLEXION

nanoindentation
P
E, H, KIC
Pointe

1 P h
microflexion
σ, E*
2 P h
hmax

3 P h
hfinal

par AFM ou par


nanoindentation

application au test de fatigue des microswitches RF

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MICROTRACTION

…vers la microtraction

Interférométrie laser
Corrélation d’image

Cellule de force 150 gF

Actionnement mécanique et/ou


piézoélectrique

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


MICROTRACTION : EXEMPLE DE RÉSULTAT

Courbe de traction d'une éprouvette d'aluminium

250

200
Contrainte vraie (Mpa)

150
S=1 x 280
µm²
100

50

0
0 0,05 0,1 0,15 0,2
déformation vraie

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


EMA – ENVIRONMENTAL CHAMBER

Characteristics
Adapted to our needs
Observation Window
Window for optical observation
Humidity range:
0 – 95 % RH ± 1.5 % RH
Temperature range:
+15 / +40°C ± 0.1 °C

Electrical Connector

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


NANOINDENTOR

• Extraction of mechanical parameters


– Young’s modulus
– Hardness
– Stiffness
– Friction and adherence coefficient

Berckovich tip

Nanoindentor

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


NANOINDENTEUR

1
1 : Load 2
2 : Unload

pile-up
hf h
Principe de fonctionnement:
charge vs. déplacement

sink-in

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À
L'ÉVALUATION DE LA FIABILITÉ
EXEMPLE D’ANALYSE DE DÉFAILLANCE
CONCLUSION

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (1)

TLS Principle : LSM

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (2)

TLS Principle : OBIRCH, TIVA


Resistivity slightly changes with temperature.
Under local thermal laser stimulation, local
resistivity change occurs αTCR = 4,29x10-3
Aluminum
ρ0 L
(α TCR − 2δ T ) ∆T
Other materials ∆R =
S δT = 2,36x10-5
Metal αTCR >0, δΤ >0
Polysilicon or doped Si, αTCR, δΤ >0
Voltage source (OBIRCH: K. Nikawa)
Current source (TIVA: E. Cole)
(
∆I = − ∆R R 2 V )
∆V = ∆R ⋅ I
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
FA CASE STUDIES (3)

Seebeck Effect Imaging


Laser

Material Q12 (µV/oC)


T0 T0
M1 M2

T > T0 Al / W 7,0
■ Seebeck Effect
Al / n+ Poly -121
Two materials
Temperature difference Al / n+ Si
-105
=> induce a voltage between (1020cm-3)
material

V12 = (Q1 −Q2 )(T −T0 ) =Q12(T −T0 )


■ NB_TLS
No Biased TLS
SEI Seebeck effect imaging
■ ∆V = V12
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
FA CASE STUDIES (4)

Micro-Heating Elements Suspended on Thin Membranes (MHESTM)


Si nitride (1µm) deposited on Si substrate
Pt (135-225 nm) and Ta (10-15 nm) coating
Second Si nitride deposit (1µm) Applications:
Si etching -Thermal sensor
- Thermal actuator
- Gaz sensor
-…

X
450 µm

BRIAND, D., Institute of Microtechnology,


Y
University of Neuchâtel,

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (5)

MHESTM reliability test performed by the Neuchâtel Institute of


microtechnology and LAAS
Temperature measured by thermography
Warping, buckling measured by laser interferometry
Record of resistance value versus temperature
Degradation at 575°C after 2000h (resistance increase)
« MEMS » Failure Analysis
Electro migration / stress migration site at the spiral start

Identification of some critical


process steps
Why did we get this? => real
time monitoring is mandatory
Optical microscopy did not
give results

TLS

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (6)

NB TLS on MHESTM
Initial (before any kind of
stress)
SEI Image
Thermocouples
=> Bad homogeneity

Other acquisitions
After stress
Start
5,8 V (138 mW)
Stop
Ambient temperature
NB TLS Measure
Start again

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (7)

NB TLS on MHESTM
Aging monitoring
From 0h to 14h
3 different samples
Same signature

NB-TLS applications
Characterization of different process flow
Homogeneity issues
Thermal stress only
Mechanical stress only

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (8)

complementary
MEB analysis:
Back scattered A+B
(composition mode )
A B

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (9)

X microanalysis

Point 1 Point 2

MHESTM: complementary analysis


Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
FA CASE STUDIES (10)

• Micro-relay: sample description


• Mobile part (B, C, D)
• Hinge (A)
• 2 end contact in // (D)
• 2 medium contact in //
• Contact by electrostatic
force (C moves down)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (11)

NB-TLS on Micro-relay
20x magnification
Neither applied current nor applied
voltage
Good contact (bottom left)
Poor contact (top)
Open contact (bottom right)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (12)

Micro-relay: complementary analysis


SEM pictures of contacts
Bottom left
Bottom right
Upper left (= upper right)
No evidence between poor and good contact

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (13)

Micro-relay: complementary analysis


• TLS analysis
Check where current is flowing
Confirm previous NB-TLS results

• Evidence of reliability risk


Unbalanced current flow
Faster wear out of good contact
(current flow)
Faster wear out of poor contact
(resistance => power dissipation

• NB-TLS validation
Reliable results (good contact)
No invasive (neither current nor
voltage)

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (14)

Short circuit localization in a pressure sensor technology


Technology:
Piezoresistive pressure sensor
Bulk micromachining
2 levels of Polysilicon
SiO2 (Oxyde1) separates Poly1 and Poly 2
Failure mode : Short circuit between Poly1 & Poly2

Poly2 Oxyde2
Metal
Poly1 Metal

Poly2

Membrane
Substrat
Poly1 Oxyde1

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


FA CASE STUDIES (15)

Phemos imaging of failed pressure sensor


Poly2

Poly1

Metal

Poly2 Metal

Poly1

Membrane
Substrat

Leak current localization

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


Plan de l'exposé
INTRODUCTION
QUELQUES APPROCHES DE LA FIABILITÉ
APERÇU DE QUELQUES MÉCANISMES DE
DÉFAILLANCE
EXEMPLES D ’OUTILS D'AIDE À
L'ÉVALUATION DE LA FIABILITÉ
EXEMPLE D’ANALYSE DE DÉFAILLANCE
CONCLUSION

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016


CONCLUSION (1)

Failure MEMS
Mechanisms Specificities
MEMS for high reliability applications

COTS Specific
technology technology

Back box Technological analysis Qualification of


qualification Product line
Adapted qualification Physics of Failure
Virtual prototyping/ Product Reliability
Lifetest Lifetest Lifetest simulation assessment

Failure Reliability assessment Characterization


Analysis Tools and
techniques
Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016
CONCLUSION (2)

Reliability process flow


Fabrication
Transfer Qualification
Tests / Caracs Integration
Proto
System
Design qualif
Prototyping Industrialization Integration

Support in material Material Functional and Qualification tests


and characterizations Dimensional characterizations
process Failure analysis Technological analysis
developments Life test Reliability assessment vs. mission
Design for reliability Qualification
Model failure
mechanisms

The earlier the reliability is taken into account


The shorter will be the time to market

Reproduction interdite © CNES - DCT/AQ/LE – Maj Fev 2016

Vous aimerez peut-être aussi