Vous êtes sur la page 1sur 71

Université de Versailles Saint-Quentin

Annales Licence EEA/SPI


Sujets et corrigés des examens de Licence EEA/SPI 2001-2006

Matière : Electronique Systèmes

Enseignant : Luc Chassagne

Mots clés : Amplification – Bande passante – Filtrage – CNA/CNA – Modulation

Version du 11 août 2006


UVSQ Licence EEA 2001-2002
Electronique systèmes

Licence EEA – Contrôle continu d’Electronique II


(2 heures)

Exercice 1 : (4 points)

On dispose d’un amplificateur inverseur ayant une impédance d’entrée Ze composée d’une
résistance de 16 kΩ en parallèle avec une capacité de 1 nF. Sa caractéristique de gain est
représentée Figure 1 :

G (dB)

40
pente -1

6
pente -2
10 kHz
f (Hz)

Figure 1 : Caractéristique de l’amplificateur

La première fréquence de coupure est liée à Ze, la seconde aux capacités parasites internes.

1 – Donner la fréquence à la laquelle la pente -2 démarre. Cet amplificateur est-il stable a


priori ?

2 – On insère cet amplificateur dans le montage de la Figure 2 :

C = 20 pF

Ve A
Vs

Figure 2 : Montage amplificateur


Calculer la nouvelle bande passante du montage.

3 – A quelle nouvelle fréquence la pente -2 arrive-t-elle ? Ce montage est-il stable a priori ?

2/71
Exercice 2 : (6 points)

1 – Rappeler le principe de fonctionnement d’un convertisseur analogique-numérique n bits


de type parallèle. On s’aidera d’un schéma.

2 – La tension de référence fabriquée par le convertisseur subit à un instant donné une


brusque chute de 10% de sa valeur nominale. Quelles conséquences cela peut-il avoir sur la
sortie ? Ces conséquences dépendent-elles du nombre de bits du convertisseur ?
On ne fera pas une étude quantitative mais plutôt une étude qualitative ; de même pour la
question suivante.

3 – On suppose que dans ce convertisseur, l’une des résistances qui forme le pont de division
est de mauvaise qualité et sa valeur est fausse de 20%. On ne connaît pas a priori quelle est sa
position dans le pont de résistances.
Discutez des conséquences sur la sortie.

Exercice 3 : (10 points)

On se propose d’étudier le fonctionnement d’un convertisseur analogique numérique double


rampe. Le schéma de principe est représenté Figure 3 :

Commutateur
V C
1 R Comparateur
-
2 +
Eref
Ve +
Vs -
Vc

Logique de
Compteur
commande

Horloge fe

Figure 3 : Schéma de principe d’un CAN à double rampe

On suppose V tension continue > 0 ; Eref = -5 V ; R = 1 kΩ ; C = 1 µF ; fe = 1 MHz

1 – A l’instant t = 0, le condensateur est déchargé et l’on suppose ve = 0. La logique de


commande déclenche le compteur et place le commutateur en position 1 qui reste dans cette
position pendant un temps pré-programmé t1 = 1 ms.

3/71
Déterminez l’expression de vs en fonction du temps.
Représentez l’allure de ve(t), vs(t) et vc(t) pour 0 < t < t1. Donnez l’expression de vs(t1).

2 – Le compteur est piloté par une horloge de fréquence fe = 1 MHz. A l’instant t1, il renvoie
sa valeur N1 à la logique de commande qui la mémorise. Exprimez vs(t1) en fonction des
paramètres du compteur et de l’intégrateur.

3 – Après avoir mémorisé la valeur du compteur, la logique de commande bascule le


commutateur en position 2 et remet le compteur à zéro. Déterminez l’expression de vs(t) pour
t > t1. Représentez l’allure de ve(t), vs(t) et vc(t) pour t > t1.

4 – Lorsque la logique de commande détecte vc = 0, elle bloque alors le compteur et récupère


sa valeur N. Exprimez vs(t1) en fonction de N.

5 – Montrez que V s’exprime alors en fonction de Eref, de N et N1.


Application numérique : si V = 2 volts, que valent N1 et N ?

6 – Quel est l’intérêt du convertisseur double rampe par rapport au convertisseur simple
rampe ?

7 – Si la tension à convertir était négative, quelle(s) modification(s) faudrait-il apporter sur le


schéma ?

8 – D’où peuvent provenir une erreur de zéro ou une erreur de gain dans ce montage ?

4/71
UVSQ Licence EEA 2001-2002
Electronique systèmes

Examen d’Electronique Systèmes – 3 pages – 2h


(Calculatrice interdite)

Exercice 1 :

Le système de télémétrie de la Figure 1 est mis en œuvre :

Antenne émettrice

OL

d
Système de réception
Antenne réceptrice

Figure 1 : Système de télémétrie


Une impulsion est générée par un oscillateur local et émise vers une surface S située à
une distance d. L’onde se propage dans l’air, est réfléchie, captée puis envoyée vers une
électronique qui permet de mesurer τ le temps écoulé entre l’émission de l’impulsion et son
retour sur l’antenne réceptrice. La mesure de ce temps se fait grâce à une horloge très rapide
de période Te. Le temps écoulé est alors égal à un nombre de coups d’horloge τ = NTe.

1 – Expliquez comment on peut mesurer la distance d séparant les antennes (supposées très
proches l’une de l’autre) et la surface S. On exprimera d en fonction de N et Te.
Quels types de perturbations peuvent fausser la mesure ? Quelle est la distance minimale
théorique mesurable ? Application numérique si l’horloge de comptage à une fréquence fe =
100 MHZ (on rappelle que c = 3 108 m/s).

2 – On modifie maintenant ce système comme illustré Figure 2 :

OL

Antennes

Filtre Fréquencemètre

Figure 2 : Système de réception

Le filtre est un filtre passe bas. Le fréquencemètre permet de mesurer la fréquence


fondamentale du signal issu du filtre.

5/71
L’oscillateur local est un générateur sinusoïdal de fréquence f0 modulé par sBF(t) un
signal basse fréquence triangulaire de période T (fréquence f) conformément à la Figure 3. On
considère que T >> T0. L’excursion en fréquence obtenue est notée ∆f.

Fréquence de l’OL

f0 + ∆f

f0 T t

Figure 3 : Modulation de fréquence

Représentez l’évolution de la fréquence du signal reçu avant le mélangeur en fonction du


temps.

3 – Donner l’expression du signal après le mélangeur puis après le filtre. Représentez la


fréquence du signal en fonction du temps après le filtre. Montrez que si l’on considère que τ
<< T, alors le signal possède une fréquence constante (en réalité si on ne néglige pas τ, la
T
fréquence est constante pendant environ − τ ). Donnez l’expression de la fréquence qui est
2
alors mesurée par le fréquencemètre et que l’on notera fτ.

4 – Donnez l’expression de la distance d en fonction des paramètres de l’OL et de fτ.

T
5 – Pour que le fréquencemètre puisse effectuer sa mesure pendant l’intervalle − τ , il lui
2
1
faut au moins une période du signal. Montrez que l’on obtient la condition ∆f > .
τ
T
6 – Montrez que l’indice de modulation a pour condition m > .
τ
7 – Applications numériques :
a) m = 1, f = 1,5 MHz, calculer la distance minimale mesurable,
b) m = 100, f = 1,5 MHz, calculer la distance minimale mesurable,

8 – Proposez un schéma pour le filtre passe bas en supposant qu’il s’agît d’un filtre à
capacités commutées.

Exercice 2 :

On désire transmettre un signal basse fréquence noté sm(t) par un système de


modulation. Ce signal est du type sinusoïdal : sm(t) = Vm.cosωmt.
Le générateur qui délivre sm(t) est inséré dans le schéma correspondant à la Figure 4.

6/71
R
Filtre

+ ve(t) vs(t)
sm(t) K

Figure 4 : Modulateur
Dans un premier temps, l’interrupteur K est commandé par le signal s1(t), signal carré
de pulsation ω0 > ωm (si s1(t) = 1, K est ouvert, si s1(t) = 0, K est fermé).
s1(t)
1
t
0 T0
a)
s2(t)
1
t
-1 T0
b)

Figure 5 : Signaux carrés


Le filtre est un filtre passe bande centré sur ω0, et impédance d’entrée infinie.

1 – Représentez le signal sm(t) et ve(t) sur un oscillogramme. Montrez que


l’interrupteur commandé par s1(t) correspond à un multiplieur. De quel type de modulation
s’agît-il ?

2 – Donnez l’expression de ve(t). Représentez le spectre en amplitude correspondant. Quelle


précision peut-on apporter quand au type de modulation ?

3 – Quelle condition doit-on avoir entre ωm et ω0 pour qu’il n’y ait pas de recouvrement de
spectre ? Quelle doit être la bande passante du filtre ?

4 – Représentez alors le signal vs(t).

5 – On modifie maintenant le schéma pour commander sm(t) par le signal s2(t). On considère
que le signal sm(t) est multiplié par s2(t). Donnez l’expression de ve(t). Représentez le spectre
en amplitude correspondant. Quelle condition doit-on avoir entre ωm et ω0 pour qu’il n’y ait
pas de recouvrement de spectre ? Quel est l’avantage de cette modulation par rapport à la
précédente ?

Rappel théorique :
a) Un signal carré s1(t) de période T0 – comme représenté sur la Figure 5a - a pour
1 2 2
décomposition en série de Fourier : s (t ) = + cos ω 0 t − cos 3ω 0 t + ...
2 π 3π
b) Un signal carré s2(t) de période T0 – comme représenté sur la Figure 5b - a pour
4 4
décomposition en série de Fourier : s (t ) = cos ω 0 t − cos 3ω 0 t + ...
π 3π

7/71
UVSQ Licence EEA 2001-2002
Electronique systèmes

Examen de septembre d’Electronique Systèmes – 2h


(Calculatrice autorisée)

Exercice 1 (6 points) :

1 – Rappeler le schéma de principe d’un Convertisseur Numérique Analogique à résistances


pondérées. On prendra par exemple un convertisseur 4 bits pour illustrer.

2 – On dispose d’un CNA de ce type sur 10 bits. Il est alimenté par une tension de référence
de + 5 V. Calculer le pas de quantification.

3 – Les spécifications de ce convertisseur sont données pour une température de 25°C. Or,
après une série de tests, on s’aperçoit que l’amplificateur opérationnel intégré au convertisseur
possède un défaut important : il apporte un offset sur la sortie analogique qui fluctue de 1
mV/°C (pour une valeur moyenne nulle à 25°C). Quelle(s) conséquence(s) cela a-t-il ?

4 – On dispose maintenant d’un convertisseur Analogique – Numérique simple rampe 10 bits.


Rappeler le schéma de principe. Calculer le pas de quantification s’il est alimenté en ± 10 V.

Exercice 2 (6 points) :

Soit deux résistances R1 et R2 associées en parallèle. On prendra un modèle comportant


uniquement le bruit thermique, sans bruit Flicker. On veut modéliser ces deux résistances par
une résistance globale de valeur R = (R1 parallèle à R2) et délivrant un courant de bruit i.

1 – On suppose dans un premier temps que la température des deux résistances est identique
et égale à T. Calculer la valeur i du générateur de courant de bruit associé à ces deux
résistances en parallèle.

2 – On suppose maintenant que les deux résistances sont à des températures différentes,
respectivement notées T1 et T2. Calculer alors la température équivalente de bruit de R que
l’on notera Te. On l’exprimera en fonction de R1, R2 T1, T2 et R.

8/71
Exercice 3 (8 points) :

Le système de réception superhétérodyne classique de la Figure 1 est utilisé pour


démoduler un signal appelé ve(t).

Filtre
ve(t)

Antenne

Quartz OL

Figure 1 : Système de réception


ve(t) est un signal modulé en fréquence par une modulation de type FSK : « Frequency
Shift Keying ». Il s’agît d’un signal sinusoïdal d’amplitude crête 1 V dont la fréquence varie
afin de coder l’information d’une manière logique : un « 0 » logique est codé par une
fréquence f0 = 38 kHz et un « 1 » logique est codé par une fréquence f1 = 40 kHz. Chaque bit
d’information dure 100 ms.

1 – Représentez un chronogramme du signal ve(t) pour la séquence de codage suivante : « 0-


1-1-0-1-0 ». Sans chercher à faire un chronogramme parfaitement à l’échelle, on veillera à
mettre les valeurs numériques si possible.

2 – Le quartz qui sert d’oscillateur local a une fréquence de 10,7 kHz. Calculer les fréquences
porteuses d’information après le mélangeur, ainsi que la (ou les) fréquence(s) image(s) du
système de détection. Quel type de filtre peut/doit-on mettre avant le mélangeur pour
supprimer les fréquences images.

3 – Le filtre est composé d’un système à capacités commutées du type de la Figure 2 :

H1 H2 R

C2
-

Ve(t)
+
C1 Vs (t)

Figure 2 : Filtre à capacités commutées


Les horloges H1 et H2 sont en opposition de phase, de fréquence fe très supérieure à la
fréquence du signal d’entrée.
Calculer la fonction de transfert du filtre. Donner l’expression de la fréquence de
coupure du filtre et du gain dans la bande passante. Montrer qu’il peut convenir pour la
question précédente.

9/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Licence EEA – Contrôle continu d’Electronique II


(Documents interdits - 31/03/2003 - 1H30)

Exercice 1 : (4 points)

1 – Rappelez la définition de la résolution d’un convertisseur analogique-numérique. Précisez


les paramètres dont elle dépend.

2 – Rappelez le principe général d’un convertisseur simple rampe. Quels sont les avantages et
inconvénients d’un tel convertisseur ?

Exercice 2 : (4 points)

Soit la cahier des charges du filtre passe haut suivant :


Fréquence de coupure = 21 MHz ; gain minimum dans la bande passante = 20 dB ; gain
maximum dans la bande passante = 22 dB ; fréquence de coupure de la bande atténuée =
10,5 MHz ; atténuation dans la bande atténuée = 13 dB.

1 – Représentez le gabarit et le gabarit normalisé du filtre.

2 – En vous aidant des abaques en annexes, donnez l’ordre nécessaire pour synthétiser un tel
filtre avec les fonctions de Butterworth puis de Tchebytchev.

Exercice 2 : (12 points)

Soit le schéma de la Figure 4 :

Z3

Z4
Z1 Z5
A
-

Ve Z2
+
Vs

Figure 4

10/71
1 – Montrez que la fonction de transfert peut s’écrire sous la forme :
Y1Y5
H ( p) = − .
Y4 (Y1 + Y2 + Y3 + Y5 ) + Y3Y5

2 – Examinez le cas où Z1 = R1, Z2 = R2, Z4 = R3, Z3 une capacité C1, et Z5 une capacité C2.
Donnez alors l’expression de H(p). On vérifiera qu’il s’agît d’un deuxième ordre. De quel
type de filtre s’agît-il ? Précisez les expressions de ses paramètres caractéristiques. AN : les
résistances valent 10 kΩ et les capacités valent 10 nF.

3 – Tracer l’allure du diagramme de Bode en gain correspondant (on ne demande pas de tracé
précis).

11/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Licence EEA – Examen d’Électronique Systèmes


(Documents interdits – 2h00)

Exercice 1 : (4 points)

1 – Soit le gabarit du filtre de la Figure 1 :


GdB
27 MHz
91 MHz
43 dB
40 dB
f

- 7 dB

Figure 1

Tracer le gabarit normalisé correspondant.

Exercice 2 : (4 points)

1 – On dispose d’un CAN 16 bits fonctionnant en unipolaire 0/5 V. Calculer le pas de


quantification.

2 – L’échantillonnage et la conversion se font par des jeux de comparaisons de tensions par


rapport à une référence interne au composant de valeur égale à 2,5 V. Quelle stabilité en
tension relative doit avoir cette référence pour ne pas perturber le bon fonctionnement du
convertisseur ?

3 – Mêmes questions si cette fois-ci le composant fonctionne sur 16 bits en bipolaire ± 5 V.

12/71
Exercice 3 : (4 points)

Soit le signal sinusoïdal d’expression s (t ) = A cos(ω0t + φ (t )) .

1 – Ce signal est modulé en amplitude par un signal sinusoïdal basse fréquence fL << f0.
L’indice de modulation est fixé à 0,4. Représentez l’allure du spectre du signal modulé sm(t).

2 – Le signal s(t) est maintenant modulé non plus en amplitude mais en fréquence par le
signal sinusoïdal basse fréquence fL << f0. l’indice de modulation est fixé à 2. Représentez
l’allure du spectre du signal modulé sm(t).

Exercice 4 : Détection synchrone (8 points)

Soit le signal s(t) ayant pour expression s (t ) = A cos(ω0t + φ (t )) . Ce signal est reçu par
une antenne, puis envoyé vers le système de la Figure 2.

Antenne

Filtre

OL

Figure 2

L’oscillateur local délivre un signal ayant pour expression p = V cos(ω0t ) .

1 – Dans un premier temps, φ(t) est constant et vaut φ(t) = φ0. Le filtre est un filtre passe bas
de fréquence de coupure fc très inférieure à f0. Donner l’expression du signal en sortie du
filtre.
Montrez que l’on peut récupérer l’information contenue soit dans la phase soit dans
l’amplitude du signal s(t).

2 – On suppose maintenant que les amplitudes des porteuses, A et V sont entachées de bruits
d’amplitude notés ∆A et ∆V qui viennent se rajouter respectivement à A et V. En supposant
que l’on veuille récupérer l’information contenue dans la phase du signal s(t), cela est-t il
gênant ?

3 – On suppose maintenant que φ (t ) = k cos(ω1t ) . On prend k = 0,05.


Recalculez l’expression du signal en sortie du filtre. Montrez qu’un filtre supplémentaire
passe bande de fréquence centrale égale à 2f1 est intéressant. Montrez que cela n’est vrai que
si k est petit.

13/71
Annexe – Fonctions de Bessel

Fonctions de Bessel du premier ordre :


(−1) i
J n ( m) = ∑ 2i + n
m 2i + n
i =0 2 i!(n + i )!

 m
2
m
4

n     
m 1 2 2
J n (m) =    −   +   − ...
 2   n! 1!(n + 1)! 2!(n + 2)! 
 
 

J −n (m) = (−1) n J n (m)

cos(m sin ω m t ) = J 0 (m) + 2 J 2 (m) cos(2ω m t ) + 2 J 4 (m) cos(4ω m t ) + ...

sin(m sin ω m t ) = 2 J 1 (m) sin(ω m t ) + 2 J 3 (m)c sin(3ω m t ) + ...

Jo(m)

J1(m)
J2(m)

14/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Examen de septembre d’Electronique Systèmes – 1h30


(Calculatrice autorisée)

Exercice 1 (4 points) :

1 – Soit le gabarit du filtre de la Figure 1 :


GdB
10,7 MHz
31 MHz
17 dB
10 dB
f

- 13 dB

Figure 5
Tracer le gabarit normalisé correspondant.

Exercice 2 (8 points) :

1 – Un signal sinusoïdal s1(t) d’amplitude V0 et de fréquence f0 = 100 MHz est modulé en


fréquence. L’onde modulante est une onde sinusoïdale d’amplitude Am = 3,2 V et de
fréquence fm = 1 kHz. L’excursion de modulation est 5 kHz. Donner l’indice de modulation,
la bande de fréquence occupée, et l’expression du signal modulé sm(t).

2 – Le tableau suivant résume les caractéristiques de plusieurs CAN. Le(s)quel(s) pourrait-on


utiliser pour convertir en un signal numérique le signal modulé précédent sm(t) ? Justifier.

Caractéristiques CAN 1 CAN 2 CAN 3 CAN 4 CAN 5


Nombre de bits 8 10 12 12 14
Gamme 0-5 V ±5V 0-10 V ±5V ±5V
Type Simple rampe Parallèle Réseaux R-2R Simple rampe Double rampe
Fréquence 100 MHz 250 MHz 200 kHz 100 MHz 200 kHz
d’échantillonnage
maximale
Type conversion Arrondi Troncature Arrondi Troncature Arrondi

15/71
3 – Soit le signal sinusoïdal d’expression s 2 (t ) = A cos(ω 0 t + φ (t )) . Ce signal est modulé en
amplitude par un signal sinusoïdal basse fréquence fBF << f0. L’indice de modulation est fixé à
1/3. Représentez l’allure temporelle du signal modulé s(t) ainsi que l’allure de son spectre.

Exercice 3 (8 points) :

Le schéma de principe d’un PLL est représenté sur la Figure 6 :

Comparateur de Filtre de boucle


phase de F(p)
paramètre Kϕ vs(t)
ve(t) = V.cos(ωet)

VCO de
paramètre KVCO

Figure 6 : Schéma de principe d’un boucle à verrouillage de phase

1 – Rappelez le rôle des différents composants, et le principe général de la PLL. A quoi peut-
elle servir ?

2 – A quel type de filtre correspond le filtre de boucle ? Quelles sont les conditions sur sa
fréquence de coupure ?

3 – A quoi correspondent les paramètre Kφ et KVCO ? Précisez leurs unités.

4 – La sortie vs(t) est envoyée vers l’entrée d’un convertisseur analogique-numérique afin de
pouvoir être convertie et utilisée ensuite par un calculateur. La sortie du filtre de boucle est
bornée par ± 5 V. On désire obtenir une résolution meilleure que 100 µV. Combien de bits
doit avoir le CAN ?

16/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Licence EEA – Contrôle continu d’Electronique II


(Documents et calculatrice autorisés – 1h30)

Exercice 1 : (4 points)

1 – Rappelez la définition de la résolution d’un convertisseur numérique analogique.

2 – On dispose d’un récepteur radio délivrant un signal sinusoïdal pur à 166 kHz avec une
amplitude d’environ 1 Volt en valeur efficace. On désire échantillonner ce signal, avec une
résolution meilleure que 200 µV.
Le tableau suivant résume les caractéristiques de plusieurs CAN. Examiner chacun des cas et
expliquez s’ils conviennent pour convertir le signal précédent. On veillera à correctement
justifier chaque cas.

Caractéristiques CAN 1 CAN 2 CAN 3 CAN 4 CAN 5


Nombre de bits 8 12 12 16 16
Gamme 0-5 V ±5V 0-10 V ±5V ±5V
Type Simple rampe Parallèle Réseaux R-2R Simple rampe Double rampe
Fréquence 10 MHz 25 MHz 200 kHz 10 MHz 200 kHz
d’échantillonnage
maximale

Exercice 2 : (8 points)

On dispose d’un circuit récepteur radio issu d’une antenne. On désire isoler le signal utile
centré autour de 88 MHz. Or à la sortie de l’antenne, le signal reçu contient également un
signal de forme d’onde sinusoïdale à 20 MHz. On se propose de réaliser un filtre passe haut
afin d’isoler l’information utile.

1 – Proposez un gabarit réel pour filtrer le signal issu de l’antenne. On désire une atténuation
de la partie non utile d’au moins 32 dB à partir de 30 MHz. D’autre part, le filtre servira à
amplifier en même temps le signal utile à partir de 80 MHz avec un gain compris entre 5 et 8
dB.

2 – Tracer le gabarit normalisé correspondant.

3 – Déterminer l’ordre nécessaire en supposant que l’on choisisse une réalisation de type
Butterworth (on pourra s’aider des annexes).

4 – En réalise le filtre par une structure de Rauch comme vue en Td :

17/71
Z5

Z4
Z1 Z3
A
-

Ve Z2
+
Vs

Préciser de quel type sont les Zx respectifs pour obtenir le filtre voulu (on ne donnera pas de
valeur numérique). Donner l’expression de la fréquence de coupure et du coefficient
d’amortissement. On supposera toutes les résitances égales à R et tous les condensateurs
égaux à C. Doit-on s’attendre à un rebond sur le diagramme de gain ?

Exercice 3 : (8 points)

1 – On désire filtrer un signal par le circuit représenté sur le schéma suivant :

C1
+

R
-
Ve(t) Vs(t)
R1

C2
R2

Calculer la fonction de transfert correspondante.

2 – Tracer le diagramme de Bode asymptotique en gain. On prendra pour valeurs


numériques : R = 10 kΩ ; R1 = 3 kΩ ; R2 = 1 kΩ ; C1 = C2 = 100 nF. On veillera à bien porter
toutes les valeurs numériques possibles sur le diagramme de Bode.

3 – De quel type de filtre s’agît-il ?

18/71
ANNEXES

Gabarit des filtres de type Butterworth

-20
Gain (dB)

-40

-60
0,1 1 10

Pulsation normalisée

19/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Licence EEA – Examen d’Electronique II


(Documents et calculatrice autorisés – 2h00)

Exercice 1 : (5 points)

On se propose dans cet exercice de vérifier la formule de Carson sur un exemple concret.
Prenons le signal modulé en fréquence s m (t ) = V0 cos( ω 0 t + m sin(ω m t ) ) où ω 0 = 107 rad/s,
ω m = 104 rad/s et m = 5.

1 – Déterminer la fréquence de la porteuse et celle de la modulation. Calculer l’excursion en


fréquence ainsi que la bande de fréquence occupée.

2 – A l’aide des formules de Bessel-Jacobi (données en annexe), tracer en le justifiant le


spectre en pulsation Sm(ω) de sm(t). Pour cela les amplitudes seront normalisées par rapport à
V0.

3 – En ne prenant pas en compte les harmoniques ayant une amplitude inférieure à 0,1,
montrer que la formule de Carson est validée.

Exercice 2 : (8 points)

Dans cet exercice, nous nous proposons d’étudier un circuit simple qui permet de démoduler
un signal modulé en amplitude. Soit le signal modulé : sm (t ) = A0 .cos(ω0t ) × [1 + m cos(ωmt ) ]
et le circuit de démodulation de la Figure 1 :

Partie filtrage
D
sm(t) R Sortie
C

Figure 1 : Circuit de démodulation

1 – Déterminer le spectre Sm(f) du signal sm(t).

2 – Déterminer le signal à la sortie de la diode que l’on notera sD(t). On supposera la diode
idéale et de seuil nul.

20/71
3 – Notons c(t) la tension créneau, représentée par la Figure 2, d’amplitude 1 V et de
pulsation ω 0 .
c(t)

0
T0 t

Figure 2 : Signal c(t)

Démontrez que son expression en série de Fourier est de la forme :


1 2 ∞ (−1) p
c(t ) = + ∑ cos( (2 p + 1)ω 0 t ) .
2 π p =0 2 p + 1

4 – Exprimer sD(t) en fonction de sm(t) et c(t) en considérant fm << f0.

5 – Représenter en le justifiant le spectre de sD(t) pour des fréquences comprises


entre [ 0 ; f 0 + f m ]

6 – Exprimer la fonction de transfert de la partie filtrage et déterminer son rôle.

7 – Montrer que le circuit de la Figure 1 permet effectivement de reconstruire le signal


modulant.

8 – A.N. : Prendre f m = 1 kHz f 0 = 1MHz déterminer des valeurs de R et de C qui permettent


de réaliser une démodulation de sm(t).

Exercice 3 : (7 points)

1 – Rappelez les avantages des convertisseurs par arrondi par rapport aux convertisseurs par
troncature.

2 – Le convertisseur de type parallèle tel que vu en cours est-il un convertisseur qui


fonctionne par troncature ou par arrondi ? Justifiez.

3 – Considérons le signal d’erreur de la Figure 3. Il représente la différence entre le signal


analogique avant un CAN et le signal échantillonné après le CAN.

Précisez de quel type (arrondi ou troncature) il s’agit pour les Figure a et b.

21/71
ε ε
q
a) b)
q/2

t
t

Figure 3 : Signal d’erreur de CAN

4 – Calculez les valeurs moyennes et les valeurs efficaces de chacun des deux signaux de la
Figure 3.

5 – On choisit d’utiliser un CAN par arrondi afin d’échantillonner un signal analogique carré
périodique, de valeur moyenne nulle, et de pulsation égale à 50 krad/s. Quelle fréquence
d’échantillonnage minimale doit avoir le convertisseur CAN ?

6 – En entrée du CAN, on veut insérer un filtre dit « anti-repliement ». De quel type de filtre
s’agît-il (passe-bas, passe-haut, passe-bande ; on justifiera) ? On considère dans la suite que
l’on utilise un passe bas. Deux gabarits réels sont proposés Figure 4. Lequel peut satisfaire au
problème ?
GdB GdB
45 kHz 100 kHz
50 kHz 150 kHz
6 dB 2 dB

3 dB -3 dB
f f

- 20 dB - 20 dB

Figure 4 : Gabarits de filtres

7 – Tracez le gabarit normalisé correspondant.

ANNEXES

Fonctions de Bessel


m 2i + n
J n (m) = ∑ (−1) i et J − n (m) = (−1) n J n (m)
i =0 i!(i + n)!

22/71

cos(m sin(ωt )) = J 0 (m) + 2∑ J 2 k (m) cos(2kωt ) et
k =1

sin(m sin(ωt )) = 2∑ J 2 k +1 (m) sin( (2k + 1) ωt )
k =0

23/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Examen de septembre d’Electronique Systèmes – 1h30


(Calculatrice autorisée – Documents interdits)

Exercice 1 (4 points) :

Soit le signal modulé suivant : s(t) = V0 cos (ω1t + 3, 7 sin (ω2t ) ) . On prendra V0 = 1 V , ω1 =
108 rad/s et ω2 = 103 rad/s.

Précisez de quel type de modulation il s’agit. Donner la fréquence de la porteuse, la fréquence


modulante, l’excursion en fréquence, l’indice de modulation et l’encombrement spectral.

Exercice 2 (6 points) :

1 – Soit le gabarit du filtre de la Figure 1 :


200 kHz

GdB 22 MHz

2 dB
-1dB
f

- 29 dB 100 kHz

Figure 1
Tracer le gabarit normalisé correspondant.

2 – Les abaques des fonctions de Butterworth et de Tchebytchev figurent en annexes.


Déterminez les ordres nécessaires à la réalisation des filtres respectifs.

24/71
Exercice 3 (10 points) :

Soit le convertisseur dont le schéma de principe est représenté Figure 2.

V>0 C = 10 nF
1 R = 10 kΩ
Commutateur -
2 +

Eref = - 10 V Ve +
Vs -
Vc

Logique de
Compteur
commande

Horloge fe = 1 MHz

Figure 2
1 – A l’instant t = 0, le condensateur est déchargé et l’on suppose ve = 0. La logique de
commande déclenche le compteur et place le commutateur en position 1 qui reste dans cette
position pendant un temps pré-programmé t1 = 1 ms. Déterminez l’expression de vs en
fonction du temps. Représentez l’allure de ve(t), vs(t) et vc(t) pour 0 < t < t1. Donnez
l’expression de vs(t1).

2 – Le compteur est piloté par une horloge de fréquence fe = 1 MHz. A l’instant t1, il renvoie
sa valeur N1 à la logique de commande qui la mémorise. Exprimez vs(t1) en fonction des
paramètres du compteur et de l’intégrateur.

3 – Après avoir mémorisé la valeur du compteur, la logique de commande bascule le


commutateur en position 2 et remet le compteur à zéro. Déterminez l’expression de vs(t) pour
t > t1. Représentez l’allure de ve(t), vs(t) et vc(t) pour t > t1.

4 – Lorsque la logique de commande détecte vc = 0, elle bloque alors le compteur et récupère


sa valeur N. Exprimez vs(t1) en fonction de N.

5 – Montrez que V s’exprime alors en fonction de Eref, de N et N1. Application numérique : si


V = 5 volts, que valent N1 et N ?

25/71
Abaques des fonctions de Butterworth

-10

-20
Gain (dB)

-30

-40

-50

-60
0,1 1 10
Pulsation normalisée

Abaques des fonctions de Tchebytchev (ondulation 1 dB)

0,0

-10,0

-20,0
Gain (dB)

-30,0

-40,0

-50,0

-60,0
0,1 1 10
Pulsation normalisée

26/71
UVSQ Licence SPI 2004-2005
Electronique Si134

Examen d’Electronique Si134 – 2h


(Documents et calculatrice autorisés)

Exercice 1 (7 points) :

On cherche à calculer le gain de l’amplificateur à gain variable suivant :

-VCC

T3 T4 RC RC
vs
T5 T6

v+ T1 T2 v−
e
e

RE RE

IEE1 IEE2

Les transistors sont tous des PNP identiques et appartenant à une même puce de silicium.

1 – En exprimant le rapport de la différence des courant I1 et I2 sur leur somme, donner le


courant différentiel I1 – I2 en fonction de IEE1, ∆v e = v e+ − v e− , ∆VE12 = VE1 − VE2 et UT.

2 – Dans la suite, on se mettra dans les conditions du régime faible signal. Que vaut le courant
différentiel en ce régime ?

3 – Exprimer ∆VE12 en fonction du courant différentiel et de RE.

4 – En déduire l’expression approchée du courant différentiel, en supposant UT << IEE1RE.

5 – Á quelles conditions a-t-on I1 – I2 ≈ I3 – I4 ?

6 – Exprimer I3 – I4 en fonction de IEE1, ∆VE43 = VE4 − VE3 et UT.

7 – Exprimer I5 – I6 en fonction de IEE2, ∆VE34 = VE3 − VE4 et UT.

vs
8 – En déduire le rapport
∆v e

27/71
Exercice 2 (6 points) :

1 – Soit le cahier des charges du filtre passe-bande suivant : fréquence centrale 10,7 MHz ;
bande passante de 150 kHz ; gain minimum dans la bande de 20 dB ; gain maximum dans la
bande de 23 dB ; atténuation minimale dans la bande de coupure de 10 dB ; fréquence de la
bande d’atténuation = f0 ± 300 kHz.
Tracer les gabarits réel et normalisé correspondant.

2 – Les abaques des fonctions de Butterworth figurent en annexes. Déterminez l’ordre


nécessaire à la réalisation du filtre. On rappelle que l’approximation de Butterworth a pour
1
solution H N ( pN ) = .
1 + Ω2 n
Déterminez l’asymptote de H NdB ( pN ) lorsque Ω → +∞ .

3 – Les abaques des fonctions de Tchebytchev figurent en annexes. Déterminez l’ordre


nécessaire à la réalisation du filtre. La solution de Tchebytchev tend
1
vers H NdB → 20 log( n −1 n )dB lorsque Ω → +∞ .
µ2 Ω
Déterminez l’asymptote lorsque Ω → +∞ . Montrez alors qu’un filtre de Tchebytchev est plus
raide qu’un filtre de Butterworth du même ordre et donnez l’expression de la différence de
gain entre les deux.

Exercice 3 (7 points) :

1 – Considérons le signal modulé en fréquence s m (t ) = V0 cos( ω 0 t + m sin(ω m t ) ) où ω 0 =


107 rad/s, ω m = 104 rad/s et m = m1 = 3. On prendra V0 = 2 V.
Déterminez la fréquence de la porteuse et celle de la modulation. Calculer l’excursion en
fréquence ainsi que la bande de fréquence occupée.

2 – Développez l’expression du signal sm (t ) puis, à l’aide des fonctions de Bessel, tracer en le


justifiant le spectre en fréquence Sm(f) de sm(t).

3 – En ne prenant pas en compte les harmoniques ayant une amplitude inférieure à 0,1,
montrer que la formule de Carson est validée.

4 – On désire échantillonner ce signal avec un convertisseur bipolaire ayant pour pleine


échelle Emax = 5 V. Donnez le nombre de bits minimum nécessaire, en supposant que la
résolution doit être au moins inférieure à la moitié de l’amplitude de la plus petite harmonique
du signal.

5 – On considère dans un second temps que m = m2 = m1/10 = 0,3. On reprend alors les
questions précédentes : déterminez la fréquence de la porteuse et celle de la modulation ;
calculer l’excursion en fréquence ; tracer en le justifiant le spectre en pulsation Sm(f) de sm(t) ;
exprimez la bande de fréquence occupée.

28/71
UVSQ Licence SPI 2004-2005
Electronique Si134

Examen de septembre d’Electronique Si134 – 2h00


(Calculatrice et documents autorisés)

Exercice 1 (10 points) :

On se propose d’étudier le circuit suivant en régime faible signal.

VDD
RD
RD Cs
Cc
RG

rg Ce RCh
vs
RG Cd
ve RS
eg

1 – Expliquer le rôle de chaque condensateur, son état en régime statique de polarisation et


son état en régime dynamique.

2 – Quelle est la nature de chaque transistor et quel est son type de polarisation ?

3 – Donner le plus simple schéma électrique équivalent en régime dynamique faible signal.

4 – Quel est le rôle de la résistance RG reliée à la masse ?

5 – Appliquer le théorème de Miller au premier étage en prenant AV  − g m RD comme


approximation du gain de cet étage (on précisera au préalable l’admittance de contre-réaction
Miller).

6 – Donner alors le nouveau schéma électrique équivalent en régime dynamique faible signal,
après application du théorème de Miller.

7 – En supposant que RG (i.e. la résistance reliée à la masse) est infinie devant les impédances
environnantes dans le schéma équivalent, déterminer l’impédance d’entrée, l’impédance de
sortie ainsi que le gain en tension à vide pour chaque étage.

8 – En déduire les mêmes 3 paramètres pour le circuit global.

29/71
Exercice 2 (10 points) :

Un système de réception correspondant à la figure suivante est utilisé pour transposer un


signal en fréquence. L’oscillateur local délivre un signal de la forme
v0 (t) = V0 cos(ω0t + φ0 ) ; sa pulsation est égale à 628 krad/s et la valeur de sa tension efficace
vaut 0,1V. Le signal capté par l’antenne est également un signal sinusoïdal ; son expression
vaut v e (t) = Ve cos(ωet + φe ) ; sa fréquence est égale à 24 kHz.
Antenne

Filtre Traitement

OL

Partie mélangeur

1 – Donnez l’expression du signal en sortie du mélangeur. Montrez que l’information


contenue dans le signal d’entrée se trouve dupliquée spectralement avant le filtre.

Partie filtrage

2 – On désire isoler une des deux composantes et supprimer l’autre en sortie du mélangeur
grâce au filtre. On dispose pour cela des quatre filtres dont les gabarits figurent sur la figure
suivante. Justifiez pour chacun d’entre eux s’il peut convenir ou non.

a GdB GdB
200 kHz b 100 kHz
1 MHz 120 kHz
2 dB 2 dB
0 dB
0 dB
f f

- 40 dB
- 20 dB

30 kHz 40 kHz
c d
GdB 100 kHz GdB 130 kHz

3 dB 3 dB
0 dB 0 dB
f f

- 40 dB 4 kHz - 40 dB 20 kHz

30/71
3 – Si plusieurs gabarits conviennent, choisissez l’un d’entre eux et tracer le gabarit normalisé
correspondant.

4 – Le signal de l’oscillateur local est un signal analogique issu de la sortie d’un convertisseur
numérique-analogique pour pouvoir modifier aisément ses caractéristiques. Quelle doit être la
fréquence d’échantillonnage minimum du CNA ?

5 – On veut pouvoir régler la valeur de la tension de ce signal avec une résolution d’au moins
1 mV. Quel doit être le nombre de bits minimum de ce CNA en considérant qu’on ne dépasse
jamais 1 V d’amplitude crête (la pleine échelle du CNA est réglée en conséquence) ?

Partie traitement

6 – La partie traitement après le filtre consiste en une amplification dans un premier temps.
On veut pouvoir amplifier d’un facteur 20. Quatre amplificateurs sont disponibles, dont les
transmittances sont représentées sur la figure suivante. Discutez et justifiez pour chacun
d’entre eux s’il peut convenir ou non.

a b GdB
GdB

26 dB
49 dB
-1
-1
f
f 0 dB
0 dB
fc = 100 kHz
fc = 10 kHz GdB
GdB
c d

49 dB 30 dB
-2 +1
f f
0 dB 0 dB
fc = 10 kHz fc = 100 kHz

7 – Le signal en sortie de l’amplificateur est ensuite envoyé vers un convertisseur analogique-


numérique. Son amplitude crête maximale est de 10 V (limitée par l’amplificateur précédent).
Le CAN choisi est un 12 bits ; il s’agît d’un CAN de type parallèle. De combien de
résistances internes dispose-t-il a priori ? De combien de comparateurs internes ?

31/71
UVSQ Licence SPI 2005-2006
Electronique Si234

Contrôle continu d’Electronique Si234 – 1h15


(Calculatrice et documents interdits)

Exercice 1 (4 points) :

1 – Un convertisseur analogique numérique peut fonctionner avec une méthode de


quantification par arrondi ou par troncature. Expliquez la différence. Quelle est la meilleure
méthode ? Justifiez.

2 – Calculez le pas de quantification pour un convertisseur analogique numérique dont la


pleine échelle est 0 – 10 V en unipolaire, et son nombre de bits égal à 10.

3 – En quelques mots, quelles sont les spécificités du convertisseur analogique – numérique


dit parallèle ?

Exercice 2 (5 points) :

Soit un amplificateur dont les caractéristiques sont : impédance d’entrée Ze = 1 kΩ,


impédance de sortie Zs = 200 Ω, gain dans la bande passante 20 dB, diagramme de gain
suivant :
G (dB)

G0

pente -1

10 kHz
f (Hz)

1 – Donner la valeur de G0, ainsi que le gain à 1 MHz.

2 – On associe, comme illustré sur la figure suivante, deux amplificateurs de ce type (A1 et
A2), avec un générateur sinusoïdal délivrant un signal ve = V0 sin ωt , et d’impédance de sortie
Zg = 200 Ω. Donnez l’expression de vs (t ) .

32/71
A1 A2

ve vs

3 – Calculez la valeur efficace de vs (t ) à la fréquence f 0 = 100 Hz (on ne cherchera pas


forcément à simplifier la valeur numérique).

Exercice 3 (6 points) :

On considère les deux gabarits de filtre ci-dessous.


a GdB GdB
2 MHz b 10 kHz
8 MHz 20 kHz
12 dB 0 dB
-5 dB
9 dB
f f

-36 dB
- 20 dB

1 – Donnez les gabarits normalisés correspondants.

2 – Si on suppose que l’on choisit une approximation de Butterworth pour réaliser ces filtres,
donner une estimation de l’ordre du filtre pour les deux cas correspondants (estimation sans
abaques).

Exercice 4 (5 points) :

On rappelle qu’un filtre réalisé en structure de Rauch peut avoir la fonction de transfert
V Y1Y3
suivante : H ( p) = S = − .
VE Y3Y4 + Y5 (Y1 + Y2 + Y3 + Y4 )

Considérons que Y1, Y3 et Y4 sont des capacité de valeur C , et Y2 et Y5 des résistances de


valeur R.

1 – Calculez H ( p ) correspondant à ce cas de figure.

2 – Précisez de quel type de filtre il s’agît. Donnez l’expression des éléments caractéristiques
d’une fonction du second ordre en fonction de R et de C. on rappelle que la forme générale
H 0 .N ( p )
s’exprime par H ( p ) =
2m p2
1+ p+ 2
ω0 ω0

33/71
UVSQ Licence SPI 2005-2006
Electronique Si234

Examen d’Electronique Si234 – 2h00


(Calculatrice et documents autorisés)

Exercice 1 (7 points) :

On considère une onde modulée en amplitude, de porteuse sinusoïdale de fréquence égale à


22 MHz et d’amplitude égale à 1 V ; le signal modulant est un signal triangulaire à 3 kHz et
l’indice de modulation vaut 0,5. Soit le système de réception suivant :

Antenne

Filtre Traitement

OL

L’oscillateur local est un signal carré périodique d’amplitude 1 V et de fréquence égale à


10 MHz.

1 – Représentez l’allure du chronogramme du signal modulé, sans nécessairement respecter


l’échelle parfaitement, mais on indiquera alors impérativement les valeurs numériques
importantes.

2 – On désire isoler l’information reçue grâce au filtre. Parmi les quatre gabarits suivants,
le(s)quel(s) est/sont susceptibles de convenir ? Justifiez votre réponse.

3 – Pour le filtre choisi (ou seul l’un d’entre eux s’il y en a plusieurs), représentez son gabarit
normalisé.

4 – Quel est l’ordre nécessaire pour une réalisation par Butterworth puis par Tchebytchev
(justifiez votre réponse).

5 – Toujours pour ce filtre, calculer la/les fréquence(s) image(s) correspondante(s).

34/71
a GdB GdB
2 MHz b 120 MHz
3 MHz 150 MHz
12 dB 0 dB
-5 dB
9 dB
f f

-36 dB
- 20 dB

c GdB GdB
6 MHz d
8 MHz 28 MHz
2 dB
12 dB
9 dB -1 dB
f f

-36 dB

- 30 dB 1 MHz
2 MHz

Exercice 2 (4 points) :

Considérons une onde sinusoïdale modulée en phase telle que v e (t) = Ve cos [ωet + φe (t ) ]
avec Ve = 1 V. Cette onde passe par le système de démodulation suivant :

ve(t)
Filtre

Récupération
d’horloge

Le système de récupération d’horloge prend en entrée le signal ve(t) et donne en sortie


uniquement la porteuse v e (t) = Ve cos [ωet ] sans la modulation de phase.

1 – Donnez l’expression du signal après le mélangeur.

2 – Le filtre est un filtre passe-bas pour isoler l’information. Quelle fréquence de coupure
suggérez-vous si l’on désire, avec un filtre d’ordre 2, une atténuation de 40 dB de la partie
inutile ?

3 – La modulation de phase est une modulation numérique dite « Phase Keying » : la phase
φe (t ) peut valoir alternativement trois valeurs constantes : soit 0 rad, soit π/4 rad, soit π/2 rad.
Que vaut alors la sortie du filtre ? Que réalise l’ensemble du schéma ?

35/71
Exercice 3 (9 points) :

Un système de modulation est réalisé conformément à la figure suivante :

ve(t)
Calculateur CNA VCO Emetteur

fe

Le CNA permet de programmer des tensions qui pilotent le VCO et de générer ainsi une onde
qui est ensuite émise. Le VCO peut émettre une onde sinusoïdale entre f min = 21 MHz et
f max = 25 MHz si sa tension en entrée est balayée entre Vmin = 0 V et Vmax = 10 V. Une
séquence est pré-programmée et le calculateur envoie une série de code numérique au CNA à
une fréquence de modulation fmod qui peut aller de 10 Hz à 10 kHz.

1 – On désire avoir une résolution en fréquence du signal de sortie égale à 1 kHz. Calculez la
résolution de tension nécessaire en entrée du VCO. Combien de bits doit avoir au minimum le
CNA ?

2 – Quelle doit être la fréquence d’échantillonnage minimale du CNA ?

3 – Avec ce système, quel type de modulation peut-on réaliser ?

4 – On rajoute une sortie supplémentaire sur le schéma :

CNA VCO Emetteur

Sortie vers un
oscilloscope

A quoi correspond le signal en sortie sur l’oscilloscope ?

5 – On considère que la forme d’onde pré-calculée et envoyée sur le CNA est de forme
sinusoïdale, de fréquence égale à fmod =1 kHz et ayant une composante continue telle que
v e (t) = E0 + E cos [ωmod t ] avec E0 = 2 V et E = 2 V.
Donnez l’expression du signal modulé. Calculez l’excursion en fréquence ainsi que l’indice
de modulation.

6 – Donnez l’expression et tracez le spectre du signal modulé.

36/71
ANNALES Licence EEA/SPI – Electronique Systèmes

Corrigés

37/71
UVSQ Licence EEA 2001-2002
Electronique systèmes

Corrigé succinct du Contrôle Continu 25/03/2002

Exercice 1 : (4 points)

1 – Un gain de 40 dB correspond à une amplification linéaire de 100. Un gain de 6 dB


100
correspond à une amplification de 2. La pente – 2 arrive donc à la fréquence f 2 = f1 soit
2
500 kHz.
Pour que l’amplificateur soit stable, il faut que le Gain soit < à 0 dB lorsque la pente -2 arrive.
C’est donc limite ici (si l’on raisonne en asymptotique, ce n’est pas bon, si l’on raisonne en
courbe réelle c’est juste limite).

2 – La capacité en contre réaction est ramenée par effet Miller en entrée de l’amplificateur. La
valeur de la capacité équivalente vaut C Miller = C (1 − A) .
Dans le cas de notre ampli, A = -100 (amplificateur inverseur). La capacité ramenée vaut
donc 2,02 nF. Qui s’ajoute à la capacité d’entrée déjà existante de 1 nF.
1
La nouvelle fréquence de coupure est donc f Miller = = 3,3 k Hz < 10 kHz.
2πRe (C e + C Miller )

3 – La pente – 2 arrive cette fois ci à 50.fMiller = 165 kHz. Le montage est maintenant
inconditionnellement stable.

Exercice 2 : (6 points)

1 – Voir le cours.

2 – Les seuils de comparaisons des (n-1) comparateurs deviennent faux proportionnellement à


la chute de la référence. Plus le CAN comporte de bits, plus cette erreur peut être importante
devant le pas de quantification q. Une erreur sera commise sur la sortie, d’autant plus
importante que n est grand.

3 – Même raisonnement. Si l’une des résistances est fausse, tous les seuils sont faux. Une
erreur est commise sur la sortie, d’autant plus grande que n est grand. Cela peut d’autre part
entraîner des erreurs de non linéarité, des erreurs de gain et de zéro.

38/71
Exercice 3 : (10 points)

1 – Le condensateur se charge avec un courant V/R ( même principe que pour le CAN simple
V
rampe, voir le cours). On obtient v s (t1 ) = − t1 .
RC
t1
ve(t)
V>0

vs(t)

Pente : -V/RC

vc(t)

- 15 V
t
N1
2 – Le temps t1 est composé de N1 période Te, soit t1 = .
fe
VN 1
On obtient donc v s (t1 ) = −
RCf e
3 – A t > t1, on obtient une charge du condensateur, cette fois-ci avec le courant Eref/R.
E ref VN 1 E ref
Donc v s (t ) = v s (t1 ) − t=− − t
RC RCf e RC
Le graphique ci-dessous représente le cas où la pente est plus importante que lors de la
charge, mais cela peut très bien être l’inverse si V > E ref .

t1 t2
ve(t) V>0

Eref < 0
vs(t)

Pente : -Eref/RC
vc(t)

- 15 V t

4 – Lorsque le condensateur s’est complètement déchargé, le comparateur passe à 0 un bref


instant et un nouveau cycle peut recommencer.
L’intervalle de temps t2 – t1 correspond à la décharge complète du condensateur. D’une
E N
manière analogue à la question 2, on peut donc exprimer vs(t1) par vs (t1 ) = ref .
RCf e
VN 1 Eref N
5 – En prenant v s (t1 ) = − de la question 2 et vs (t1 ) = de la question 4,
RCf e RCf e

39/71
N
on obtient V = − Eref
N1
V est donc le rapport des pentes de charge/décharge du condensateur qui se retrouve
directement dans le rapport de comptage N/N1.

AN : Comme t1 = 1 ms et fe = 1 MHz, Te = 1 µs et N1 = 1000 ceci quel que soit V. On a alors


N = 400.

6 – Le simple rampe donne une expression pour V qui dépend de R et de C. Le double rampe,
par le système de charge/décharge s’affranchi de cette dépendance. V ne dépend que de la
tension de référence et de l’horloge. C’est donc beaucoup précis et moins lié aux imprécisions
des composants.

7 – Deux possibilités : soit avoir un Eref positif, soit inverser les entrées du comparateur.

8 – Une mauvaise synchronisation de l’interrupteur avec la remise à zéro du compteur peut


entraîner de légères erreurs sur N et N1, donc sur la conversion. Un léger offset sur le seuil du
comparateur de même.

40/71
UVSQ Licence EEA 2001-2002
Electronique systèmes

Corrigé examen d’Electronique Systèmes – 2h

Exercice 1 :

1 – L’onde émise a une vitesse de propagation dans l’air sensiblement égale à c. La distance
2d
2d s’exprime par : 2d = c.τ ce qui donne τ = = NTe .
c
La mesure peut être faussée par des perturbations sur l’amplitude de l’onde. Si celle-ci est
trop détériorée, le système de réception peut ne pas la détecter.
2d cT
La distance théorique minimum mesurable correspond à τ = = Te soit d = e . En
c 2
prenant une horloge à 100 MHZ, Te = 10 ns soit dmin = 1,5 m.

2 – Le signal reçu est aussi modulé en fréquence avec un retard τ. On a donc :


Fréquence du signal reçu

f0 + ∆f

f0 τ T t

3 – L’onde reçue est décalée temporellement d’un temps τ, le mélangeur voit donc deux
ondes : une à une fréquence f0 (onde reçue) et une décalée à cause de la modulation de
∆f
fréquence à f 0 + τ . Le signal après le mélangeur est donc de la forme
T 2
 2τ∆f 2τ∆f 
v(t ) = Acos 2π (2 f 0 + )t + cos 2π ( )t  . Le filtre ne laisse passer que la seconde
 T T 
composante. En sortie du filtre on a donc l’évolution de fréquence suivante :
Fréquence après le filtre

2τ∆f
T
T/2 + τ/2

f0 τ T/2 + τ t

41/71
En considérant que τ << T, la fréquence en sortie du filtre est constante pendant un intervalle
2τ∆f
T/2 et égale à fτ = . Un saut de phase tout les T/2 apparaît.
T

2τ∆f 4d∆f 2d
4 – Si l’on mesure fτ = , on obtient alors fτ = car τ = . Ce qui donne
T cT c
cTfτ
d= .
4∆f
2τ∆f
5 – Pendant un intervalle T/2 (en négligeant τ devant T), la fréquence mesurée est fτ = .
T
T T T
Donc la période mesurée vaut Tτ = . La condition de mesure impose > , ce qui
2τ∆f 2 2τ∆f
1
donne ∆f > .
τ

1 ∆ω 2π∆f m
6 – On a ∆f > d’une part et d’autre part m = = = ∆fT → ∆f = . On obtient
τ ω ω T
T
donc m > .
τ

T c
7 – On a m > soit d >
. a) dmin = 100 m et b) dmin = 1 m. Pour mesurer des petites
τ 2mf
distances, il est nécessaire de disposer d’un système de modulation à fort indice.

8 – Voir cours sur les capacités commutées et les filtres passe bas associés.

42/71
Exercice 2 :

1 – sm(t) est un signal sinusoïdal.


On a pour ve(t) :
ve(t) = sm(t) pendant une demi période de s1(t),
= 0 pendant une demi période de s1(t).

On peut donc dire que l’interrupteur K commandé correspond à un découpage du


signal sm(t) par le signal s1(t). Ce qui donne ve(t) = sm(t).s1(t).
L’interrupteur commandé fait donc office de multiplieur.
sm(t)

Vm

0
Tm
t

ve(t)

0
T0 t

Il s’agît d’une modulation d’amplitude, de porteuse ω0 et de signal utile sm(t)

2 – On a ve(t) = sm(t).s1(t).
1 2 2 
Soit ve (t ) = Vm cos(ω m t ).s1 (t ) = Vm cos(ω m t ). + cos ω 0 t − cos 3ω 0 t + ...
2 π 3π 
V  2 2 2 
ve (t ) = m cos(ω m t ) + cos(ω 0 + ω m )t + cos(ω 0 − ω m )t − cos(3ω 0 ± ω m )t + ...
2  π π 3π 
Spectre de ve(t)

Vm/2
Vm/π
Vm/3π

ωm ω0±ωm 3ω0±ωm ω

On remarque qu’il y a une information basse fréquence encore présente à ωm et qu’il


n’y a par contre aucune composante à ω0. Il s’agît donc d’une modulation sans porteuse à
double bande latérale.

43/71
ω0
3 – Pour qu’il n’y ait pas de recouvrement de spectre, il faut que ω m <
. Le filtre passe
2
bande doit passer au moins la composante ω0 - ωm ou la composante ω0 + ωm. Un filtre de
largeur 2ωm peut convenir.

4 – Après filtrage autour de ω0, on obtient un signal porteur sinusoïdal.


vs(t)

0
t

5 – Le raisonnement est le même , sauf que le signal sm(t) est alternativement multiplié par
±1. On obtient alors le signal suivant : ve(t) = sm(t).s2(t).

4 4 
Soit ve (t ) = Vm cos(ω m t ).s 2 (t ) = Vm cos(ω m t ). cos ω 0 t − cos 3ω 0 t + ...
π 3π 
V 4 4 4 
ve (t ) = m  cos(ω 0 + ω m )t + cos(ω 0 − ω m )t − cos(3ω 0 ± ω m )t + ...
2 π π 3π 
Spectre de ve(t)

2Vm/π
2Vm/3π

ω0±ωm 3ω0±ωm ω

L’information basse fréquence a disparu par rapport au cas précédent. Il n’y a toujours
pas de composante à ω0. La condition pour qu’il n’y ait pas de recouvrement de spectre,
devient ω m < ω 0 . L’énergie est mieux répartie dans les bandes utiles et la condition entre ω0
et ωm moins contraignante.

44/71
UVSQ Licence EEA 2001-2002
Electronique systèmes

Corrigé examen de septembre d’Electronique Systèmes – 2h

Exercice 1 (6 points) :

1 – (2 points) Voir cours

+5
2 – (1 points) Pas de quantification : q = = 4,9mV
210

3 – (1 points) Pour un écart d’environ 5°C autour de la température nominale 25°C, la sortie
sera faussée d’un pas de quantification. Voir plus pour de plus grand écart de température.

± 10 20
4 – (2 points) Voir cours pour le schéma. q = = 10 = 19,5mV
210 2

Exercice 2 (6 points) :

1 – (3 points) On effectue la somme quadratique des courants en parallèle


T T  1 1  T
i 2 = i12 + i22 = 4k ∆f + 4k ∆f = 4kT∆f  +  = 4k ∆f avec R = R1 // R2.
R1 R2  R1 R2  R

2 – (3 points) Si les deux résistances n’ont pas les mêmes températures, on obtient :
T T T R T + R1T2
i 2 = i12 + i22 = 4k 1 ∆f + 4k 2 ∆f = 4k e ∆f avec Te = 2 1
R1 R2 R R1 + R2

45/71
Exercice 3 (8 points) :

1 – (2 points) Chronogramme de ve(t).


Codage

0 1 1 0 1 0
t
ve(t)
1V
etc…

T = 100 ms f1 = 40 kHz
f0 = 38 kHz

2 – (3 points) Les fréquences porteuses d’informations après le mélangeur sont :


Pour un « 0 » : f0 ± 10,7 soit f01 = 27,3 kHz et f02 = 48,7 kHz
Pour un « 1 » : f1 ± 10,7 soit f11 = 29,3 kHz et f12 = 50,7 kHz
Les fréquences images possibles sont :
Pour f0 : f01 - 10,7 = 16,6 kHz et f02 + 10,7 = 59,4 kHz
Pour f1 : f11 - 10,7 = 18,6 kHz et f12 + 10,7 = 61,4 kHz

Deux filtrages sont possibles :


Soit on travaille après le mélangeur sur f01 et f11. Il faut alors supprimer les fréquences
images basses avec un filtre passe haut qui coupe les fréquences en dessous de ~ 19 kHz.
Soit on travaille après le mélangeur sur f02 et f12. Il faut alors supprimer les fréquences
images hautes avec un filtre passe bas qui coupe les fréquences au dessus de ~ 59 kHz.

3 – (3 points) Voir cours. Il s’agît d’un pseudo-intégrateur passe bas de fréquence de


1 R 1
coupure : f c = et d’amplification dans la bande passante A = − avec Re =
2πRC 2 Re C1 f e
En choisissant une fréquence de coupure supérieur à 51 kHz et inférieure à 59 kHz, ce filtre
peut convenir.

46/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Corrigé succinct du Contrôle Continu 31/03/2003

Exercice 1 : (4 points)

1 – Voir cours

2 – Voir cours

Exercice 2 : (4 points)

Gabarit et gabarit normalisé :


GdB GNdB
10,5 MHz
21 MHz
22 dB 0 dB 1 2
20 dB fN
- 2 dB
f

- 35 dB
- 13 dB

Ordre nécessaire : n = 6 pour Butterworth et n = 5 pour Tchebytchev

Exercice 3 : (12 points)

1 – Voir TD exercice 3.

2 – On remplace dans l’expression de H(p) Y1 par 1/R1, Y2 par 1/R2, Y3 par jωC1, Y4 = par
1/R3 et Y5 par jωC2.
jω C 2
R1
On obtient : H ( jω ) = On pose 1/R = 1/R1 +1/R2
1  1 1 
 + + jω (C1 + C 2 ) + ( jω ) C1C 2
2

R3  R1 R2 

jωC2 RR3
R1
Après simplification, il vient H ( p) =
( jω ) C1C2 RR3 + jω (C1 + C2 )R + 1
2

47/71
On reconnaît la forme d’un passe bande où le dénominateur est un second ordre de
forme canonique et le numérateur fonction de jω.
1
On peut par identification trouver f 0 = . AN : f0 = 2,25 kHz
2π C1C2 RR3

2m
De même par identification on trouve = (C1 + C2 )R ce qui
ω0
1
donne m = (C1 + C2 ) R . AN : m = 0,7
2 C1C2 R3

3 – L’allure du diagramme de Bode en gain est la suivante :

GdB

f
2,25 kHz

±20 dB/décade

48/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Corrigé succinct de l’Examen Électronique Systèmes

Exercice 1 : (4 points)

GdB GNdB
27 MHz
91 MHz
43 dB 0 dB 1 3
40 dB fN
- 3 dB
f

- 50 dB
- 7 dB

Exercice 2 : (4 points)

+5
1 – Pas de quantification : q = = 76µV .
216
2,5 1
2 – Sa stabilité doit être meilleure que 76 µV, soit = 15 .
76µV 2
+ 10 2,5 1
3 – Pas de quantification : q = 16
= 152µV , avec une stabilité meilleure que = 14 .
2 152µV 2

Exercice 3 : (4 points)

1 – s (t ) = A cos(ω0t + φ (t )) modulé en amplitude devient (voir cours)


s (t ) = A[1 + m cos(ω L t )]cos(ω 0 t + φ (t )) . En développant, on trouve le spectre suivant :
Spectre
A

A/5

ω
ω0-ωL ω0 ω0+ωL

49/71
2 – D’après les abaques : J0 ~ 0,2 ; J1 ~ 0,6 ; J2 ~ 0,4 ; J3 ~ 0,15 puis on néglige les
harmoniques supérieurs. On obtient donc :

Spectre 0,6A 0,6A

0,4A 0,4A
0,2A
0,15A 0,15A
ω
ω0-3ωL ω0 -2ωL ω0 -ωL ω0 ω0+ωL ω0+2ωL ω0+3ωL

Exercice 4 : (8 points)

1 – Le signal en sortie du mélangeur vaut :


AV
sd mod (t ) = AV cos(ω0t + φ0 )cos(ω0t ) = [cos(2ω0t + φ0 ) + cos(φ0 )].
2
AV
La composante à 2.f0 est filtrée, il ne reste que la composante continue s filtre (t ) = cos(φ0 ) .
2
Si l’information est contenue dans la phase de s(t), elle se retrouve sur l’amplitude du signal
de sortie du filtre par l’intermédiaire du cosinus ; si elle est contenue dans l’amplitude, elle se
retrouve directement.

2 – La sortie du filtre devient maintenant s filtre (t ) =


( A + ∆A)(V + ∆V ) cos(φ ) .
Si l’on veut 0
2
récupérer l’information contenue dans la phase de s(t), le bruit d’amplitude sur A ou sur V
pourra être interprété comme du bruit de phase et gêner alors la récupération du signal utile.

3 – Si k << 1 on peut faire un développement limité du cosinus.


AV AV  φ 2 (t ) 
s filtre (t ) = cos(φ (t )) = 1 −  avec φ (t ) = k cos(ω1t ) .
2 2  2 
AV  k 2 cos 2 (ω1t ) 
On obtient donc s filtre (t ) = 1 − .
2  2 
AV AVk 2 AVk 2
En développant le cosinus carré, il vient : s filtre (t ) =
− − cos(2ω1t ) . On a donc
2 8 8
une somme de deux composantes continues et d’une composante à la fréquence 2.f1. Ceci
n’est possible que parce que l’on a effectué le développement limité et donc que k petit pour
que l’amplitude de φ (t ) soit < 0,1.

50/71
UVSQ Licence EEA 2002-2003
Electronique systèmes

Corrigé succinct examen de septembre d’Electronique Systèmes – 1h30

Exercice 1 (4 points) :

GdB GdB
10,7 MHz
31 MHz
17 dB 0 dB 1 2,9
10 dB fN
- 7 dB
f

- 30 dB
- 13 dB

Exercice 2 (8 points) :

Excursion 5000
1 – Indice de modulation : m = = = 5.
Fréquencede mod ulation 1000
Approximation de la bande spectrale par la formule de Carson : BP = 2(m + 1) f m = 12kHz .
Expression du signal modulé : s m (t ) = V0 sin (ω 0 t + 5 cos(ω m t ))

2 – Pour respecter le théorème de Shannon, seul le Can 2 peut convenir.

3 – s 2 (t ) = A cos(ω 0 t + φ (t )) modulé en amplitude devient (voir cours)


s 2 (t ) = A[1 + m cos(ω BF t )]cos(ω 0 t + φ (t )) . En développant, on trouve le spectre suivant :
Spectre
A

A/6

ω
ω0-ωBF ω0 ω0+ωBF

Exercice 3 (8 points) :

1 – 2 – 3 – Voir cours

10V
4 – La résolution sera égale à . Pour avoir au moins 100 µV, il faut utiliser un CAN
2q
17 bits minimum.

51/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Licence EEA – Corrigé succinct du contrôle continu d’Electronique II


(Documents et calculatrice autorisés – 1h30)

Exercice 1 : (4 points)

1 – Voir cours

2 – Amplitude de 1 V efficace, donc la tension crête du signal est borné entre -1,4 V et
+ 1,4 V environ.

Caractéristiques CAN 1 CAN 2 CAN 3 CAN 4 CAN 5


Nombre de bits 8 12 12 16 16
Gamme 0-5 V ±5V 0-10 V ±5V ±5V
Type Simple rampe Parallèle Réseaux R-2R Simple rampe Double rampe
Fréquence 10 MHz 25 MHz 200 kHz 10 MHz 200 kHz
d’échantillonnage
maximale

Les convertisseurs 1 et 3 ne conviennent pas car la gamme de tension d’entrée est unipolaire
(n’acceptent pas de tensions négatives).
Les convertisseurs 3 et 5 ne peuvent convenir car la fréquence d’échantillonnage est trop
faible (pour respecter Shannon, il faut au moins 2x166 KHz).
Pour la résolution des convertisseurs 2 et 4, les résolutions respectives sont : 10/212 et 10/216
soit respectivement 2,4 mV et 152 µV. Donc le convertisseur 2 ne peut convenir, seul le 4 est
utilisable.

Exercice 2 : (8 points)

1 – 2 – Les gabarits réels et normalisés sont de cette forme :


GdB GNdB
30 MHz
80 MHz
8 dB 0 dB 1 2,7
5 dB Ω
- 3 dB
f

- 40 dB
- 32 dB

3 – Selon les abaques fournis en annexe, un ordre 5 Butterworth convient.

52/71
Gabarit des filtres de type Butterworth

-20
Gain (dB)

-40

n=5

-60
0,1 1 10
Ω = 2,7
Pulsation normalisée

4 – Voir Td : L’expression de la fonction de transfert de ce filtre est :


V Y1Y3
H ( p) = S = − .
VE Y3Y5 + Y4 (Y1 + Y2 + Y3 + Y5 )

Si maintenant on remplace dans l’expression de H(p) Y1 par jωC , Y3 par jωC , Y5 par jωC ,
Y2 par 1/R, et Y4 par 1/R.
( jωC ) ( jω RC )
2 2

On obtient : H ( jω ) = − =− ce qui
11  ( )
2
jω RC + 3 jω RC + 1
 + 3 jωC  + ( jωC )
2

RR 
correspond bien à un passe-haut car le numérateur est d’ordre 2.
1
• La pulsation de coupure vaut ω0 =
RC
2m 3RCω0
• Le coefficient d’amortissement est tel que = 3RC , soit m = = 1,5 donc pas
ω0 2
de rebond.

53/71
Exercice 3 (8 points) :

1 – On trouve les relations suivantes :


R jω RC1
v+ = ve . = ve .
R+
1 1 + jω RC1
jωC1
R2 R2 1 + jω R1C2
v− = vs . = vs . .
R2 + R1 // C2 R1 + R2 1 + jω R1 R2 C
2
R1 + R2
v R + R2 jω RC1 1 + jω ( R1 // R2 )C2
En égalisant v+ = v− on obtient : s = 1 . . ou l’on reconnaît
ve R2 1 + jω RC1 1 + jω R1C2
le produit de cinq formes canoniques.

R1 + R2
A.N. : = 4 → 20 log(4) = 12dB
R2

1 1 1
On pose f1 = = 160 Hz ; f 2 = = 530 Hz ; f3 = = 2123Hz
2π RC1 2π R1C2 2π ( R1 // R2 )C2
Le diagramme de Bode en gain correspondant est alors :
HdB

12 dB

0 dB f (Hz)
160 530 2123

On peut parler d’un passe haut (fréquences basses ne passent pas, fréquences hautes ont un
gain de 1), avec un gain privilégié sur une certaine bande intermédiaire.

54/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Licence EEA – Corrigé succinct de l’examen d’Electronique II


(Documents et calculatrice autorisés – 2h00)

Exercice 1 : (5 points)

ω0 ω
1 – f0 = = 1,6 MHz f m = m = 1,6 kHz . L’excursion en fréquence est donnée par
2π 2π
∆f = m × f m = 5 × 1, 6.103 = 8 kHz . La bande passante est donnée par la formule de Carson car
m >> 1, d’où : BP = 2 × ( m + 1) × f m soit 19,2 kHz.

2 – Développons l’expression du signal modulé :

s m (t ) = V0 cos(ω 0 t ) cos(m sin(ω m t )) − V0 sin(ω 0 t ) sin( m sin(ω m t ))

De plus si l’on développe selon la formule de Bessel-Jacobi avec m = 5 :


3
cos( m sin(ω m t )) = J 0 (5) + 2∑ J 2 p (5) cos( 2 pω m t )
p =1
3
sin( m sin(ω m t )) = 2∑ J 2 p +1 (5) sin( (2 p + 1)ω m t )
p =0

Tous les autres termes ont des amplitudes très faibles. En utilisant les abaques on trouve :
J 0 (5) = −0,17 J 1 (5) = −0,33 J 2 (5) = 0,05 J 3 (5) = 0,36 J 4 (5) = 0,4 J 5 (5) = 0,26
J 6 (5) = 0,13 J 7 (5) = 0,05
Donc :
3 3
s m (t ) = J 0 (5)V0 cos(ω 0 t ) + 2∑ J 2 p (5) cos(ω 0 t ) cos( 2 pω m t ) + 2∑ J 2 p +1 (5) sin(ω 0 t ) sin( (2 p + 1)ω m t )
p =1 p =0

Soit :
1 3
s m (t ) = J 0 (5)V0 cos(ω 0 t ) + 2 ∑ J 2 p (5)[cos ( (ω 0 + 2 pω m )t ) + cos( (ω 0 − 2 pω m )t )] +
2 p =1
1 3
2 ∑ J 2 p+1 (5)[cos ( (ω 0 − (2 p + 1)ω m )t ) − cos( (ω 0 + (2 p + 1)ω m )t )]
2 p =0

En passant à la TF on obtient une somme de Dirac dont les amplitudes sont données par le
graphique suivant :

55/71
0,45 A

0,4 0,4
0,4
0,36 0,36
0,33 0,35
0,33
0,3
0,26 0,26
0,25

0,2
0,17
0,13 0,15 0,13
0,1

0,05 0,05 0,05 0,05


0,05

0
ω0 -7ωm ω0 -5ωm ω0 -3ωm ω0 - ωm ω0 ω0 + ωm ω0 + 3ωm ω0 + 5ωm ω0 + 7ωm ω
ω0 -6ωm ω0 -4ωm ω0 - 2ωm ω0 + 2ωm ω0 + 4ωm ω0 + 6ωm

Toutes les fréquences supérieures à ω0 + 6ωm ont une amplitude très faible de même pour
celles qui sont inférieures à ω0 - 6ωm qui ne seront pas prises en compte dans la bande
occupée. La bande occupée est donnée par : ω0 + 6ωm - (ω0 - 6ωm) = 12ωm ; ce qui
correspond bien à la formule de Carson de la question 1.

Exercice 2 : (8 points)

1 – Nous avons la relation sm (t ) = A0 cos(ω0t ) + mA0 cos(ω0t ) cos(ωmt )


mA0
soit sm (t ) = A0 cos(ω0t ) + [cos((ω0 + ωm )t ) + cos((ω0 − ωm )t )]
2
En appliquant la Transformée de Fourier sur sm on obtient un Dirac en f0 avec l’amplitude A0
car le facteur ½ dû à la TF du cosinus sera omis. Et deux autres Dirac en f0 + fm et f0 – fm ayant
mA0
tous les deux la même amplitude .
2
D’où le spectre en fréquence :
A
A0

mA0 mA0
2 2

f0 - fm f0 f0 + fm
f

2 – Déterminons les moments où la diode est passante ou bloquée.


9 Diode bloquée :
Ceci signifie que le courant est nul dans tout le reste du circuit donc la tension sD est nulle.
De plus, la diode est bloquée si la différence de potentiel à ses bornes est négative soit :
diode est bloquée ⇔ sm – sD <0 donc si sm < sD = 0 avec la remarque précédente.
La diode est donc bloquée lorsque sm < 0 et alors sD = 0.
9 Diode passante :
Avec l’étude précédente ceci signifie que sm > 0 et sD = sm car le seuil est nul. Donc la
diode est passante lorsque sm > 0 alors sD = sm.

56/71
3 – La tension c(t) est périodique et paire donc tous les coefs bn sont nuls.

a0 ∞
c s’écrit alors c(t ) = + ∑ a n cos(nω 0 t ) avec :
2 k =1
T0 T0
2 4 T0 T
a0 =
T0 ∫ 2
T
− 0
c(t )dt =
T0 ∫
0
4 dt = 1 car la fonction est paire et nulle entre
4
et 0 .
2
2
T0
4  sin(nω 0 t )  4 π
T0 T0
2 4 2
an =
T0 ∫2
T
− 0
c(t ) cos(nω 0 t )dt =
T0 ∫
0
4 cos(nω 0 t )dt = 
T0  nω 0  0
 =

sin(n )
2
2

2
donc a 2 p = 0 et a 2 p +1 = (−1) p
π (2 p + 1)

1 2 ∞ (−1) p
D’où c(t ) = + ∑ cos( (2 p + 1)ω 0 t )
2 π p =0 2 p + 1

4 – Puisque la diode ne laisse passer que la partie positive, on peut modéliser l’effet de la
diode par le produit de sm par c(t) car la période la plus courte du signal sm est T0.
Donc s D (t) = s m (t) × c(t) .

5 – En utilisant l’écriture de c(t) sous forme de série de Fourier, on obtient pour sD :


s (t ) 2
s D (t ) = m + s m (t ) cos(ω 0 t ) + ...
2 π

Par passage à la TF, le premier terme est déjà calculé en 1- mais multiplié par ½. Le deuxième
terme de la somme est une convolution de Sm(f) en ± f 0 . Bien sûr la convolution en f0 dépasse
la gamme demandée alors que la translation en − f 0 ramène le spectre de sm en 0. Toutes les
autres harmoniques sont en dehors de la gamme d’étude.
Finalement on obtient le spectre :

A
A0
2A0 2
π
mA0 mA0 mA0
π 4 4
0 fm f0 f
f0-fm f0+fm
6 – On a deux impédances en parallèles qui font office de pont diviseur d’où l’expression
1
jCω 1
de v s : v s = ve ⇒ H = → filtrage passe bas de pulsation de
1 1 + jRCω
R+
jCω
1
coupure : ω c = qui correspond à une atténuation de –3dB.
RC

57/71
7 – Si la fréquence de coupure est bien choisie, entre fm et f0-fm, alors ne seront conservées que
les fréquences du signal modulant plus une partie continue qui ne change rien.
A
A0
2A0 2
π
mA0 mA0 mA0
π 4 4
0 fm f0 f
f0-fm f0+fm

fc Composantes supprimées

8 – Puisque f0 est très grande devant fm, une fréquence de coupure fc de l’ordre de 3fm est
suffisante pour ne pas atténuer le signal utile mais supprimer le reste.
1
Donc = 3ω m .
RC

A.N. RC = 5,3.10 −5 s soit en prenant la valeur standard C = 1nF on a R = 53 kΩ.


On choisit alors C = 1nF et R = 50 kΩ.

Exercice 3 : (7 points)

1 – Voir cours

2 – Voir cours pour le schéma. Convertisseur par troncature.

3 – a) Arrondi b) Troncature

2 T /2
q2  t3 
T /2 T /2
1 1  q.t  q
4 – a) vmoy = 0 ; veff = . ∫ f 2 ( t ) .dt = . ∫   .dt = . 
3 
=
T −T / 2 T −T / 2  T  T  3  −T / 2 12
2 T
q2  t 3 
T T
q 1 1  q.t  q
b) vmoy = ; veff = .∫ f 2 ( t ) .dt = .∫   .dt = .  =
3 
2 T 0 T 0 T  2T  3  0 3

5 – Pulsation de 50 krad/s, soit une fréquence d’environ 8 kHz. S’agissant d’un signal carré
périodique, on peut le décomposer en série de Fourier ; il possède une raie à 8 kHz puis une
aux harmoniques impairs : 24 kHz, 40 kHz, 56 kHz. On considère qu’il faut au moins prendre
jusqu’à l’harmonique 5 minimum pour ne pas trop le déformer. La fréquence
d’échantillonnage doit être au minimum le double de 40 kHz pour respecter le théorème de
Shannon, soit au moins 80 kHz.

6 – Voir cours, il s’agît d’un filtre passe-bas. Le gabarit n°1 satisfait le problème,
conformément à la question précédente.

7 – Le gabarit normalisé correspondant au gabarit n°1 devient :

58/71
GNdB

0 dB 1 1,11
fN
- 3 dB

- 26 dB

ANNEXES

Fonctions de Bessel


m 2i + n
J n (m) = ∑ (−1) i et J − n (m) = (−1) n J n (m)
i =0 i!(i + n)!

cos(m sin(ωt )) = J 0 (m) + 2∑ J 2 k (m) cos(2kωt ) et
k =1

sin(m sin(ωt )) = 2∑ J 2 k +1 (m) sin( (2k + 1) ωt )
k =0

59/71
UVSQ Licence EEA 2003-2004
Electronique systèmes

Corrigé examen de septembre d’Electronique Systèmes – 1h30


(Calculatrice autorisée – Documents interdits)

Exercice 1 (4 points) :

Soit le signal modulé suivant : s(t) = V0 cos (ω1t + 3, 7 sin (ω2t ) ) . On prendra V0 = 1 V , ω1 =
108 rad/s et ω2 = 103 rad/s. Il s’agit d’un signal modulé en fréquence.
Fréquence de la porteuse fp = ω1/2π = 15,9 MHz ; fréquence modulante fBF = ω2/2π = 159 Hz.
L’indice de modulation m = 3,7 ; excursion de modulation ∆f = m×fBF = 588 Hz.
L’encombrement spectral s’approxime par la formule de Carson BP = 2 ( m + 1) f BF =1494 Hz.

Exercice 2 (6 points) :

f 0 22MHz
1 – Coefficient de qualité Q = = = 220 . La fréquence d’atténuation est donnée par
∆f 100kHz
 f f   22,1 22 
la formule f N = Q  − 0  = 220  −  = 2.
 f 0 f   22 22,1 
200 kHz

GdB 22 MHz GNdB

2 dB fN
0 dB 1 2
-1dB
f - 3 dB

- 31 dB
- 29 dB 100 kHz

2 – On trouve n = 5 pour Butterworth et n = 4 pour Tchebytchev.

60/71
Exercice 3 (10 points) :

1 – Le condensateur se charge avec un courant V/R (même principe que pour le CAN simple
V
rampe, voir le cours). On obtient v s (t1 ) = − t1 .
RC
t1 = 1 ms
ve(t)
V>0

vs(t)

Pente : -V/RC

vc(t)

- 15 V
t
N1
2 – Le temps t1 est composé de N1 période Te, soit t1 = .
fe
VN 1
On obtient donc v s (t1 ) = −
RCf e

3 – A t > t1, on obtient une charge du condensateur, cette fois-ci avec le courant Eref/R.
E ref VN1 E ref
Donc v s (t ) = v s (t1 ) − t=− − t
RC RCf e RC
Le graphique ci-dessous représente le cas où la pente est plus importante que lors de la
charge, mais cela peut très bien être l’inverse si V > E ref .

t1 t2
ve(t) V>0

Eref < 0
vs(t)

Pente : -Eref/RC
vc(t)

- 15 V t

4 – Lorsque le condensateur s’est complètement déchargé, le comparateur passe à 0 un bref


instant et un nouveau cycle peut recommencer.
L’intervalle de temps t2 – t1 correspond à la décharge complète du condensateur. D’une
E N
manière analogue à la question 2, on peut donc exprimer vs(t1) par vs (t1 ) = ref .
RCf e

61/71
VN 1 Eref N
5 – En prenant v s (t1 ) = − de la question 2 et vs (t1 ) = de la question 4,
RCf e RCf e
N
on obtient V = − Eref
N1
V est donc le rapport des pentes de charge/décharge du condensateur qui se retrouve
directement dans le rapport de comptage N/N1.

AN : Comme t1 = 1 ms et fe = 1 MHz, Te = 1 µs et N1 = 1000 ceci quel que soit V. On a alors


N = 500.

62/71
UVSQ Licence SPI 2004-2005
Electronique Si134

Corrigé succinct de l’examen d’Electronique Si134 – 2h


(Documents et calculatrice autorisés)

Exercice 1 (6 points) :

f 0 10, 7 MHz
1 – Coefficient de qualité Q = = = 71,3 . La fréquence d’atténuation est donnée
∆f 150kHz
 f f   11 10, 7 
par la formule f N = Q  − 0  = 71,3  −  = 3,9 .
 f0 f   10, 7 11 
600 kHz

GdB 10,7 MHz GNdB

23 dB fN
0 dB 1 4
20 dB
f - 3 dB

- 33 dB
- 10 dB 150 kHz

2 – Ordre 3 nécessaire pour Butterworth. On rappelle que l’approximation de Butterworth a


1
pour solution H N ( pN ) = .
1 + Ω2n
Lorsque Ω → +∞ , l’asymptote devient H NdB ( pN ) = −n.20 log ( Ω ) .

3 – Ordre 3 nécessaire pour Tchebytchev. La solution de Tchebytchev tend


1
vers H NdB → 20 log( n −1 n )dB lorsque Ω → +∞ . On peut l’exprimer par
µ2 Ω
H NdB → −20 log µ − 20 ( n − 1) log 2 − 20n log Ω dB.
Le troisième terme représente l’atténuation d’un filtre de Butterworth. Le filtre de
Tchebytchev correspondant possède donc une atténuation supplémentaire de
−20 log µ − 20 ( n − 1) log 2 dB.

63/71
Exercice 2 (7 points) :

1 – Considérons le signal modulé en fréquence sm (t ) = V0 cos(ω0t + m sin(ωmt ) ) où ω 0 =


107 rad/s, ω m = 104 rad/s et m = m1 = 3.
Il s’agît d’un signal modulé en fréquence ou en phase. La fréquence porteuse vaut f 0 =
1,6 MHz, la fréquence de modulation 1600 kHz.
L’excursion en fréquence s’obtient par ∆f = m × f m = 4800 kHz. La bande de fréquence
occupée s’exprime par la formule de Carson BP = 2 ( m + 1) f m = 12,8 kHz.

2 – On peut exprimer sm (t ) par :


sm (t ) = V0 J 0 cos(ω0t ) + V0 J1 cos(ω0 + ωm )t − V0 J1 cos(ω0 − ωm )t
+V0 J 2 cos(ω0 + 2ωm )t − V0 J 2 cos(ω0 − 2ωm )t + ....

Grâce aux abaques des fonctions de Bessel, on trouve : J0 = -0,28 ; J1 = 0,35 ; J2 = 0,5 ; J3 =
0,3 ; J4 = 0,1 ; J5 = 0,04 ; on négligera donc les harmoniques au-dessus de J5 inclus. Normalisé
par rapport à V0, le spectre est de la forme suivante :
Sm(f)
0,5

0,35
0,3
0,28

0,1

f0-fm f0 f0+fm f0+2fm f0+3fm f0+4fm


f

3 – Si l’on considère le spectre allant de l’harmonique -4 à l’harmonique + 4, ont trouve


BP = 12,8 kHz ce qui confirme la formule de Carson.

4 – L’amplitude de la plus petite harmonique du signal vaut V0J4 = 0,2 V. La résolution doit
E
donc être inférieure à 0,1 V pour respecter l’énoncé. La résolution s’exprime par q = max , on
2n −1
peut calculer n = 7.

5 – On considère dans un second temps que m = m2 = m1/10 = 0,3. Les fréquences porteuses
et de modulation ne changent évidemment pas.
L’indice de modulation étant faible, seules deux bandes latérales apparaissent. L’excursion en
fréquence devient ∆f = m × f m = 480 Hz et la bande occupée BP = 2.fm = 3,2 kHz.
On peut le vérifier en trouvant sur les abaques J0 = 0,95 ; J1 = 0,12. Le spectre normalisé par
rapport à V0 est le suivant :

64/71
Sm(f)
0,95

0,15

f0-fm f0 f0+fm f

65/71
UVSQ Licence SPI 2004-2005
Electronique Si134

Corrigé succinct de l’Examen de septembre d’Electronique Si134 – 2h00


(Calculatrice et documents autorisés)

Exercice 2 (10 points) :

Partie mélangeur

1 – Le mélangeur assure la multiplication des deux signaux. On obtient donc le produit :


s(t) = V0 cos(ω0t + φ0 ) × Ve cos(ωet + φe )
V0 .Ve
= cos (ω0t + ωet + φ0 + φe ) + cos (ω0t − ωet + φ0 − φe ) 
2 
On obtient donc deux composantes, l’une à une fréquence f 0 + f e = 124kHz que l’on nomme
bande utile haute et l’autre à une fréquence f 0 − f e = 76kHz que l’on nomme bande utile
basse.

Partie filtrage

2 – Le filtre a laisse passer les deux composantes, il ne sert donc à rien ; le filtre b peut
convenir pour garder la bande utile haute ; le filtre c est centré autour de 100 kHz avec une
bande passante trop étroite, aucune des deux composantes ne passe ; le filtre d peut convenir
pour garder la bande utile haute car bien que légèrement décentré par rapport à 124 kHz, sa
bande passante de 20 kHz est assez large.

3 – Le gabarit normalisé correspondants à b (plus simple que d) est :


GdB GNdB
100 kHz
120 kHz
2 dB 0 dB 1 1,2
0 dB fN
- 2 dB
f

- 22 dB
- 20 dB

4 – Afin de respecter le théorème de Shannon, la fréquence d’échantillonnage doit être au


moins deux fois supérieure à la fréquence du signal converti. En l’occurrence fe > 152 kHz si
l’on a choisi la bande utile basse et fe > 248 kHz si l’on a choisi la bande utile haute.

5 – La tension maximale crête est égale à Emax = 1 V. Il s’agît d’un signal sinusoïdal, il peut
2E
donc être positif ou négatif. La résolution s’exprime alors par q = max . On obtient n ≥ 11 .
2n

66/71
Partie traitement

6 – Une amplification d’un facteur 20 correspond à un gain égal à 20.log(20) = 26dB .


L’amplificateur a a un gain de 49 dB jusqu’à 10 kHz ; il aura donc un gain d’environ 29 dB à
100kHz, il peut donc convenir pour amplifier l’une ou l’autre bande utile ; l’amplificateur b
ne peut convenir que pour garder la bande utile basse mais son gain est légèrement insuffisant
pour la bande utile haute ; l’amplificateur c a une pente -2, son gain à 100 kHz est donc de
9 dB, il ne peut convenir ; l’amplificateur d peut convenir pour l’une ou l’autre bande.

7 – Voir cours pour le principe du convertisseur de type parallèle. S’il s’agît d’un CAN 12
bits, il y a a priori 212 = 4096 résistances et 212 – 1 = 4095 comparateurs.

67/71
UVSQ Licence SPI 2005-2006
Electronique Si234

Corrigé succinct du Contrôle continu d’Electronique Si234 – 1h15


(Calculatrice et documents interdits)

Exercice 1 (4 points) :

1 – Voir cours

Emax 10
2 – le pas de quantification vaut q = = 10 = 9,8 mV
2n 2

3 – Voir cours

Exercice 2 (5 points) :

Soit un amplificateur dont les caractéristiques sont : impédance d’entrée 1 kΩ, impédance de
sortie 100 Ω, gain dans la bande passante 26 dB, diagramme de gain suivant :
G (dB)

G0

pente -1

10 kHz
f (Hz)

1 – Le gain G0 vaut 20 dB ; le gain à 1 MHz vaut environ -20 dB (deux décades, avec une
pente de -20 dB/décade)

2 – L’expression de vs (t ) vaut :
Ze Ze 1000 1000 25
vs (t ) = ve (t ) × × × Av2 = ve (t ) × × × Av2 = ve (t ) × × Av2
Ze + Z g Ze + Z s 1200 1200 36

68/71
25
3 – A 100 Hz, Go = 20 dB donc Av = 10 ; donc vs (t ) = ve (t ) × ×100 ce qui donne
36
V0 25
vseff (t ) = × × 100 .
2 36

Exercice 3 (6 points) :

1 –Gabarits normalisés correspondants.


a GdB GdB
b
1 4 1 2
0 dB 0 dB
-3 dB Ω Ω
-5 dB

-48 dB -20 dB

2 – Si on suppose que l’on choisit une approximation de Butterworth pour réaliser ces filtres,
la pente peut s’approximer par une pent -20n dB/décade ou -6n dB/octave. Dans le cas a, il
faut -48 dB à deux octaves, soit un ordre 4 minimum ; dans le cas b, il faut -20 dB à une
octave, soit un ordre 4 minimum.

Exercice 4 (5 points) :

On rappelle qu’un filtre réalisé en structure de Rauch peut avoir la fonction de transfert
V Y1Y3
suivante : H ( p) = S = − .
VE Y3Y4 + Y5 (Y1 + Y2 + Y3 + Y4 )

Considérons que Y1, Y3 et Y4 sont des capacités de valeur C, et Y2 et Y5 des résistances de


valeur R.

( jωC ) 2 ( jω RC ) 2
1 – H ( p) = − =−
jωC 1  1 1 + 3 jω RC + ( jω RC ) 2
+  3 jωC + 
R R R

2 – Il s’agît d’un passe haut car lorsque ω → 0 alors H(p) → 0 et lorsque ω→ ∞ alors
H(p) → 1. Si l’on calcule de discriminant, on le trouve positif. Il s’agît donc d’un faux second
ordre composé a priori de deux premiers ordres. Toutefois, si on l’identifie comme pour un
second ordre cela donne :
1 2m 3
H 0 = −1 ω0 = = 3RC → m = .
RC ω0 2

69/71
UVSQ Licence SPI 2005-2006
Electronique Si234

Corrigé succinct de l’Examen d’Electronique Si234 – 2h00


(Calculatrice et documents autorisés)

Exercice 1 (7 points) :

1 – L’indice de modulation vaut 0,5, donc Smmax = Ap (1+m) = 1,5 et Smmin = Ap(1-m) = 0,5.
Une allure du chronogramme est
sm(t)
1,5

0,5 V
t

2 – Le signal de démodulation est un signal carré, composé de raies aux harmoniques


impaires 10, 30 et 50 MHz. En sortie du mélangeur, on aura donc les combinaisons f p ± f 0 ,
f p ± 3 f 0 et f p ± 5 f 0 ; la combinaison f p − 5 f 0 donne -28 MHz. Le filtre d est donc
susceptible de convenir. Si l’on calcule les autres combinaisons, aucune ne convient pour les
autres filtres.

3 – Le gabarit normalisé devient


GdB GNdB
28 MHz 1 2
2 dB
0 dB
-1 dB -3 dB
f

-32 dB

- 30 dB 1 MHz
2 MHz

4 – Selon les abaques, on trouve pour Butterworth un ordre 6 et pour Tchebytchev un ordre 4.

70/71
5 – Les fréquences images se calculent pour la fréquence utile de 28 MHz par les expressions
fi ± f 0 = 28MHz ou fi ± 3 f 0 = 28MHz ou fi ± 5 f 0 = 28MHz ce qui donne respectivement 38
et 18 MHz, 58 et 2 MHz et 78 MHz.

Exercice 2 (4 points) :

ve2
1 – Après le mélangeur, on obtient vs (t ) = cos ( 2ωet + φe (t ) ) + cos φe (t )  .
2

2 – On veut supprimer la composante à 2ωe . Avec un filtre d’ordre 2, on a une pente de


2ωe
40 dB/décade, il faut donc une fréquence de coupure inférieure à .
10

3 – Pour une phase de 0 rad, π/4 rad et π/2 rad la valeur en sortie du filtre vaut respectivement
1 1 1
cos 0 = 0,5 ; cos π / 4 = 2 / 4 et cos π / 2 = 0 .
2 2 2

Exercice 3 (9 points) :

1 – La résolution désirée est de 1 kHz sur une plage de 4 MHz, soit 1/4000 ; cela correspond
donc à la page de tension divisée par 4000, soit 2,5 mV. Il faut un convertisseur 12 bits
minimum car 212 = 4096.

2 – La fréquence d’échantillonnage du CNA doit être au moins égale au double de la


fréquence de modulation maximale pour respecter Shannon, soit 20 kHz.

3 – On peut réaliser une modulation de phase.

4 – La sortie rajoutée correspond au signal de modulation ou signal modulant.

5 – La fréquence nominale de fonctionnement est égale à f0 = 21,8 MHz (21+4×2/10 MHz).


L’excursion en fréquence vaut ∆f = 800 kHz de part et d’autre de la fréquence nominale.
∆f
L’indice de modulation vaut donc = 800.
f mod
L’expression du signal modulé est : sm (t ) = Vm .cos  2π f o t + KVCO ∫ E cos(ωmod t )dt  .

71/71

Vous aimerez peut-être aussi