Vous êtes sur la page 1sur 68

Electronique Numérique

(Cours & TD)


SMI – S3
Année universitaire : 2020 – 2021
T. BELHOUSSINE DRISSI

Les nombres
Les systèmes de numération Codage des nombres Les codes numériques

George Boole Blaise Pascal Howard Hathaway Aiken


02/11/1815, Lincoln, R-U. 19/06/1623, Clermont, France 09/03/1900, Hoboken, E.-U.
08/12/1864, Ballintemple, Irlande 19/08/1662, Paris, France 14/03/1973, St Louis, E.-U.
La logique combinatoire
Fonctions et opérateurs logiques Les circuits combinatoires Fonctions et opérateurs arithmétiques

Augustus De Morgan Charles Babbage John von Neumann


27/06/1806, Madura, Indes 26/12/1791, Teignmouth, R-U. 28/12/1903, Budapest, Hongrie
18/03/1871, Londres, R.-U. 1871, London, R-U. 08/02/1957, Washington DC, E.-U.
Les circuits séquentiels
Les bascules Les registres Les compteurs

Alan Mathison Turing Richard Wesley Hamming Claude Elwood Shannon


23/06/1912, Londres, R.-U. 11/02/1915 à Chicago, E.-U. 30/04/1916, Gaylord, E.-U.
08/06/1954, R.-U. 07/01/1998 à Monterey, E.-U. 24/02/2001, Medford, E.-U.
Partie I : Logique combinatoire

Opérations logiques..............................................................................................................................3
Théorèmes fondamentaux ..................................................................................................................10
Formes canoniques.............................................................................................................................11
Table de Karnaugh .............................................................................................................................14
Circuits logiques : Les opérateurs arithmétiques et de comparaison .................................................18
Circuits logiques : Les opérateurs de transcodage .............................................................................24
Circuits logiques : Les opérateurs d’aiguillage..................................................................................31

Partie II : Logique séquentielle

Bascules .............................................................................................................................................35
Compteurs ..........................................................................................................................................41

Travaux dirigés

Série 1 ................................................................................................................................................46
Série 2 ................................................................................................................................................48
Série 3 ................................................................................................................................................52
Série 4 : Verrou RS ............................................................................................................................55
Série 5 : Verrou D ..............................................................................................................................58
Série 6 : Bascule de type D ................................................................................................................61
Série 7 : La fonction comptage ..........................................................................................................64
Série 8 : Compteur binaire .................................................................................................................66
Série 9 : Compteur décimal................................................................................................................67
Série 10 : Compteurs synchrone ........................................................................................................68

2
Opérations logiques

I- Variables booléennes
Une variable logique (dite booléenne) est une grandeur binaire : elle peut prendre deux valeurs, 0 ou
1. Elle peut être utilisée pour représenter une proposition ou l’état d’un objet.
Exemple : Elle sera égale à 1 pour un moteur (ou tout autre dispositif) à l’état marche, 0 s’il est à
l’état arrêt (autres exemples : ouvert / fermé - enclenché / déclenché - avant / arrière - vrai / faux …)
II- Opération logiques élémentaires
II-1- Opérations
On peut définir des opérations mathématiques portant sur des variables logiques. On définit trois
opérations logiques élémentaires :
L’addition logique généralement symbolisée par le signe « + »
La multiplication logique généralement symbolisée par le signe « . »
L’inversion ou complémentation logique généralement symbolisée par le surlignement de la
variable « ‾ »
En électronique, des opérateurs dont les grandeurs d’entrée et de sortie sont des tensions
représentant des variables logiques. Permettant d’obtenir le résultat de ces opérations.
Rappelons qu’à l’état d’une entrée (ou d’une sortie) sont associées la valeur d’une tension : l’état 1
correspond à une tension voisine de x volts (5V par exemple), à l’état 0 correspond une tension
voisine de y volts (0V par exemple)
II-2- Fonction à une variable
C’est une fonction à une variable d’entrée
II-2-1- Opération OUI
L’opération OUI est représentée par l’expression S = E (Lire : S est identique à E).
Opérateur OUI, est un opérateur à une seule entrée. L’état logique de sortie est constamment
identique à celui de l’entrée.
Symbole français Symbole américain Table de vérité Chronogramme
E S
E 1 S E S 0 0
1 1

II-2-2- Opération NON


L’opération NON est représentée par l’expression S = E (Lire : S est le complément de E, ou
S = NON E).
L’opérateur NON, appelé couramment inverseur, effectue cette opération. C’est un opérateur
à une seule entrée.
Symbole français Symbole américain Table de vérité Chronogramme
E S
E 1 S E S
0 1
1 0

III- Fonctions à deux variables logiques


Ce sont des fonctions à deux variables d’entrée.

3
III-1- Opération OU « OR »
L’opération OU s’écrit : S = E1 + E2 (Lire : S est égale à E1 OU E2)
L’opérateur OU se nomme aussi somme logique
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 0 0 0
≥1 S S
0 1 1
E2 E2 1 0 1
1 1 1

III-2- Opération NON-OU « NOR »


L’opération NON-OU permet l’obtention du complément d’une somme logique. Elle s’écrit :
S = E1 + E 2 (Lire : S est égale au complément de (E1 + E2))
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 S 0 0 1
≥1 S
0 1 0
E2 E2 1 0 0
1 1 0

III-3- Opération ET « AND »


L’opération ET s’écrit : S = E1 . E2 (Lire : S est égale à E1 ET E2)
L’opérateur ET se nomme aussi produit logique
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 0 0 0
& S S
0 1 0
E2 E2 1 0 0
1 1 1

III-4- Opération NON-ET « NAND »


L’opération NON-ET permet l’obtention du complément d’un produit logique. Elle s’écrit :
S = E1 . E 2 (Lire : S est égale au complément de (E1 . E2))
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 S 0 0 1
& S
0 1 1
E2 E2
1 0 1
1 1 0

III-5- Opération OU EXCLUSIF « XOR »


L’opération OU EXCLUSIF est encore appelée opération d’anti-coïncidence car la variable
de sortie ne vaut 1 que lorsque les deux variables d’entrée ont des valeurs différentes.

4
L’opérateur OU EXCLUSIF s’écrit S = E1 . E 2 + E1 .E 2
L’opérateur OU EXCLUSIF comporte deux entrées.
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 S 0 0 0
=1 S
0 1 1
E2 E2
1 0 1
1 1 0

III-6- Opération NON-[OU EXCLUSIF] « NXOR »


L’opération NON-[OU EXCLUSIF] est encore appelée opération coïncidence car la variable
de sortie ne vaut 1 que si les deux variables d’entrée ont les mêmes valeurs logique.
L’opérateur NON-[OU EXCLUSIF] s’écrit S = E1 . E 2 + E1 .E 2
Symbole français Symbole américain Table de vérité Chronogramme
E1 E2 S
E1 E1 0 0 1
=1 S S
0 1 0
E2 E2
1 0 0
1 1 1

IV- Brochage des principaux circuits intégrés : TTL

5
6
Remarque :
Flip-Flop = c’est une bascule qui est munie d'une entrée d'horloge sensible aux fronts. La sortie Q
du flipflop reste constante entre deux fronts d'horloge.
Il existe 3 sortes de flip-flops : le flip-flop D, le flip-flop T et le flip-flop JK.

7
8
9
Théorèmes fondamentaux

V- Table de vérité
C’est une table qui permet de connaitre la valeur de la fonction logique S en fonction des diverses
combinaisons des valeurs E1, E2 et E3. Les valeurs des variables sont placées dans l’ordre binaire
naturel.
Exemple : S = E1 .E 2 .E3 + E1 .E 2 .E 3 + E1 .E 2 .E3 + E1 .E 2 .E 3
E1 E2 E3 S Le contenu de la dernière colonne est obtenu en calculant la
0 0 0 0 valeur de la fonction S pour chaque ensemble de valeurs des
trois variables E1, E2 et E3. Ces valeurs de S dépendent bien sûr
0 0 1 1
de l’opération booléenne effectuée.
0 1 0 1
Dans le cas présent, le premier 1 de la colonne S (en
0 1 1 0
descendant) correspond au terme E1 .E 2 .E 3 le deuxième à
1 0 0 1
E1 .E 2 .E 3 et les suivants respectivement à E1 .E 2 .E 3 et
1 0 1 0
1 1 0 0 E1 .E 2 .E3
1 1 1 1
VI- Lois fondamentales
On choisit trois variables booléennes E1, E2, E3 et trois opérations NON, ET et OU.
Commutativité E1 + E2 = E2 + E1 E1 .E2 = E2 .E1
Associativité E1 + ( E2 + E3 ) = ( E1 + E2 ) + E3 E1 .( E2 .E3 ) = ( E1 .E2 ) .E3
Distributivité E1 .( E2 + E3 ) = E1 .E2 + E1 .E3 E1 + ( E2 .E3 ) = ( E1 + E2 ) .( E1 + E3 )
Idempotence E+E=E E.E = E
Complément E + E =1 E.E = 0
Elément neutre E +0= E E.1= E
Elément absorbant E +1=1 E.0= 0
Optimisation E1 + E1 . E2 = E1 + E2 E1 + E2 E3 = ( E1 + E2 ) . ( E1 + E3 )

VII- Théorème de De Morgan (Augustus)


Théorème 1 : Le produit logique complémenté de deux variables booléennes est égal à la
somme logique des compléments de ces variables : E1 .E 2 = E1 + E 2 . Cette relation peut être
n n
généralisée à n variables : ∏ Ei =
i =1
∑E
i =1
i

Théorème 2 : La somme logique complémentée de deux variables booléennes est égale au


produit logique des compléments de ces variables : E1 + E 2 = E1 .E 2 . Cette relation peut être
n n
généralisée à n variables : ∑ Ei =
i =1
∏E
i =1
i

10
Formes canoniques
Toutes les expressions booléennes peuvent donc être obtenues en utilisant des opérations NON, ET
et OU. Cependant il est possible de réaliser toutes les opérations booléennes au moyen d’une seule
sorte d’opérateur : opérateur NON-ET ou opérateurs NON-OU.
I- Utilisation d’opérateurs NON-ET
Par un montage convenable des opérateurs NON-ET, on réalise soit l’inversion ou complémentation
logique, soit le produit logique, soit le produit logique
Inversion logique L’opérateur NON-ET, réalise une inversion logique. En effet
S = E .E ⇒ S = E
Produit logique Deux opérateurs NON-ET, réalisent un produit logique. En effet, à la sortie du
premier opérateur, la variable a pour expression E1 .E 2 . Le deuxième
operateur étant monté en inverseur logique, la variable de sortie a pour
expression : S = E1 .E 2 ⇒ S= E1 .E 2
Somme logique Trois opérateurs NON-ET, réalisent une somme logique. Les deux premiers
opérateurs sont montés en variables E1 et E 2 . A la sortie du troisième
opérateur, la variable a pour expression : S = E1 .E 2 . En appliquant le théorème
de Morgan nous obtenons : S = E1 + E 2 ⇒ S= E1 + E 2

II- Utilisation d’opérateurs NON-OU


Par un montage convenable des opérateurs NON-OU, on réalise également soit l’inversion logique,
soit un produit logique, soit la somme logique.
Inversion logique L’opérateur NON-OU, réalise une inversion logique. En effet :
S = E + E ⇒ S= E
Produit logique Trois opérateurs NON-OU, réalisent un produit logique. Les deux premiers
opérateurs sont montés en inverseurs logiques et donnent en sortie les variables
E1 et E 2 . A la sortie du troisième opérateur, la variable a pour expression :
S = E1 + E 2 . En appliquant l’un des théorèmes de De Morgan nous obtenons :
S = E1 .E 2 ⇒ S= E1 .E 2
Somme logique Deux opérateurs NON-OU, réalisent une somme logique. En effet, la variable
de sortie du premier opérateur étant montée en inverseur logique, la variable S
de sortie a pour expression : S = E1 + E 2 ⇒ S= E1 + E 2

III- Exemples de combinaisons à partir des portes NAND et NOR.

11
IV- Exemple d’application
IV-1- Opérateurs NON-ET
Recherchons le montage d’opérateurs correspondant à la fonction : S = E1 .E 2 + E1 .E 2 .
L’implantation de cette somme de produits peut s’effectuer grâce à des opérateurs NON, des
opérateurs ET et des opérateurs OU (fig. 1).

Figure 1
Elle peut aussi être réalisée à partir d’opérateurs NON-ET. Nous remarquons, en effet, que S peut
s’écrire : S = S .

Or, d’après le théorème 2 de De Morgan : S = E1 .E 2 . E1 .E 2 ⇒ S = S = E1 .E 2 . E1 .E 2 .


Cette écriture conduit à l’implantation de la figure 2, réalisée à partir de 5 opérateurs NON-ET.

Figure 2
IV-2- Opérateurs NON-OU
En reprenant le même exemple : S = E1 .E 2 + E1 .E 2 et en remarquant que S peut encore s’écrire :
( )
S = ( E1 + E 2 ) . E1 + E 2 . Nous en déduisons, en appliquant la propriété S = S, une nouvelle

expression de S : S= (E + E ) + (E + E
1 2 1 2 ) . Cette écriture justifie l’implantation de la figure 3,
réalisée à l’aide de 5 opérateurs NON-OU.

12
Figure 3
V- Forme canonique d’une fonction logique
On appel forme canonique d’une fonction la forme ou chaque terme de la fonction comportent
toutes les variables.
Exemple : S = E1 .E 2 .E3 + E1 .E 2 .E 3 + E1 .E 2 .E 3 + E1 .E 2 .E 3
Ils existent plusieurs formes canoniques : les plus utilisées sont la première et la deuxième forme
V-1- Première forme canonique
Première forme canonique (forme disjonctive) : somme de produits
Exemple : S = E1 .E 2 .E3 + E1 .E 2 .E 3 + E1 .E 2 .E3 + E1 .E 2 .E 3
V-2- Deuxième forme canonique
Deuxième forme canonique (conjonctive): produit de sommes
(
Exemple : S = ( E1 + E 2 + E3 ) E1 + E 2 + E3 ) (E + E
1 2 + E3 ) (E + E
1 2 + E3 )
Remarques :
La première et la deuxième forme canonique sont équivalentes.
On peut toujours ramener n’importe qu’elle fonction logique à l’une des formes canoniques.
Cela revient à rajouter les variables manquants dans les termes qui ne contiennent pas toutes
les variables (les termes non canoniques).
Cela est possible en utilisant les règles de l’algèbre de Boole :
Multiplier un terme avec une expression qui vaut 1
Additionner à un terme avec une expression qui vaut 0
Par la suite faire la distribution

13
Table de Karnaugh
La méthode de la table de Karnaugh permet d’écrire une équation booléenne, de la simplifier et de
déduire une implantation des composants pour le montage correspondant.
Lorsque le nombre de variable devient important, le nombre de ligne d’une table de vérité devient
également important rendant difficile l’emploi d’une telle table. La méthode de la table de
Karnaugh atténue un peu cet inconvénient.
Cependant dès que le nombre de variable est supérieur à six, les problèmes posés sont traités par
informatique.
I- Présentation
Une table dite de Karnaugh est constitué de lignes et de colonnes en nombre tel que la table soit la
plus carrée possible
Avec un nombre n pair de variable d’entrée (n = 2p), la table sera formée de 2p lignes et de 2p
colonnes (avec n = 4, il y a 22 lignes et 22 colonnes)
Avec un nombre n impair de variables d’entrée (n = 2p+1), la table sera formée soit de 2p
lignes et 2p+1 colonnes soit 2p+1 lignes et 2p colonnes (avec n = 3, il y a 4 lignes et 2 colonnes
ou 2 lignes et 4 colonnes)
Pour quatre variables E1, E2, E3 et E4 la disposition est la suivante : la colonne correspondant à E1E2
et la ligne correspondant à E3E4 sont numérotées selon le code binaire réfléchi.
E3E4 00 01 11 10
E1E2
00 E .E .E .E E .E .E .E E .E .E .E E .E .E .E
1 2 3 4 1 2 3 4 1 2 3 4 1 2 3 4

01 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4


11 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4
10 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4 E1 .E 2 .E3 .E 4
Pour associer une table de Karnaugh à une expression, il est préférable d’écrire celle-ci sous la
forme d’une somme de produits car chaque produit est l’adresse d’une case.
II- Utilisation
Exemple : Représentation de la table de karnaugh de l’expression suivante :
S = E1 .E 2 .E3 + E1 .E 2 .E 3 + E1 .E 2 .E 3 + E1 .E 2 .E 3 . Avec trois variables, nous pouvons construire une
table à deux lignes et quatre colonnes ou une table à quatre lignes et deux colonnes. Construisons
arbitrairement, une table à deux lignes et quatre colonnes, nous pouvons avoir :
E1 E2E3 00 01 11 10
0
1
On convient de placer 1 dans une case si le produit qu’elle contient appartient à la
combinaison étudiée. Dans le cas contraire, on y place un 0
La case correspond au produit E1 .E 2 .E3 qui n’appartient pas à l’expression étudiée : on y
place un 0. La case correspond au produit E1 .E 2 .E3 qui appartient l’expression étudiée: on
y place un 1. En procédant de cette façon pour toutes les autres cases, nous obtenons le
tableau suivant :

14
E1 E2E3 00 01 11 10
0 0 1 0 1
1 1 0 1 0
Ce tableau de karnaugh ainsi obtenu est strictement équivalent à l’équation donnant S.
Réciproquement, à partir de la table de Karnaugh, nous pouvons retrouver la fonction S (S est la
somme des produits contenus dans les cases où sont placés les 1).
Remarques :
Chaque case de la table de karnaugh correspond à une ligne de la table de vérité
Deux cases adjacentes, verticalement ou horizontalement, ne différent que d’une variable
Exemple : S = E1 .E 3 + E 2 .E 4 = E1 .E 2 + E1 .E 4 + E 3 .E 2 + E3 .E 4
Nous obtenons la table de karnaugh suivante :
E3E4 00 01 11 10
E1E2
00 1 1 1 1
01 1 0 0 1
11 1 0 0 0
10 1 1 0 0
III- Résumé de la méthode :
On détermine le nombre de variables d’entrée afin de connaître la taille des tableaux.
Affecter aux différents produits de l’équation non simplifiée une case du tableau en respectant
le code Gray.
Introduire la fonction logique dans le tableau en positionnant à « 1 » les cases qui valident la
fonction de sortie.
Effectuer les groupements de cases adjacentes :
Si le tableau met en évidence des cases adjacentes comportant des 1. Les expressions
qui leur correspondent peuvent être regroupées pour simplifier l’expression de la
fonction S.
Deux cases sont dites adjacentes lorsqu’elles ont un cotés commun ou lorsque l’une est
la dernière de la colonne (ou de la ligne) et l’autre la première.
Sortir la fonction simplifiée en éliminant la ou les variables d’entrée qui changent d’état.
IV- Applications
IV-1- Réunion de doublets
En réunissant les expressions correspondant au contenu de deux cases adjacentes (verticales ou
horizontales) comportant des 1. La simplification consiste à éliminer la variable qui change d’état
Exemple : Soit la table de Karnaugh suivante
E3E4 00 01 11 10
E1E2
00 1 1 0 0
01 0 0 1 0
11 0 0 1 1
10 0 1 0 1
Nous avons réuni les 1 dans des cases adjacentes. Il faut remarquer que

15
Les cases et sont adjacentes
Les cases et bien qu’adjacentes, n’ont pas été reliées car elles sont toutes deux
prises en compte par ailleurs
En appliquant la règle de réunion des doublets, nous trouvons :
S = E1 .E 2 .E3 + E 2 .E 3 .E 4 + E 2 .E3 .E 4 + E1 .E 3 .E 4
IV-2- Réunion de quartets
En réunissant les expressions correspondant au contenu de quatre cases adjacentes (verticales ou
horizontales) comportant des 1. La simplification consiste à éliminer les deux variables qui
changent d’état.
Exemple : Soit la table de Karnaugh suivante :
E3E4 00 01 11 10
E1E2
00 1 1 0 1
01 1 1 0 1
11 0 0 0 1
10 0 0 0 1
En appliquant la règle de réunion des quartets, nous obtenons : S = E1 .E 3 + E 3 .E 4 . En effet :
Les seules variables communes aux cases , , et sont E1 et E 3 : il faut éliminer les
autres variables et il reste donc le produit E1 .E 3
Les seules variables communes aux cases , , et sont E 3 et E 4 : il faut éliminer
les autres variables et il reste donc le produit E 3 .E 4
IV-3- Réunion de d’octets
En réunissant les expressions correspondant au contenu de huit cases adjacentes (verticales ou
horizontales) comportant des 1. La simplification consiste à éliminer les trois variables qui changent
d’état
IV-4- Résumé pour simplifier une fonction par la table Karnaugh
Remplir le tableau à partir de la table de vérité ou à partir de la forme canonique.
Faire des regroupements : des regroupements de 16, 8, 4, 2, 1 cases (Les même termes
peuvent participer à plusieurs regroupements).
Dans un regroupement :
Qui contient un seule terme on peut pas éliminer de variables.
Qui contient deux termes on peut éliminer une variable (celle qui change d’état).
Qui contient 4 termes on peut éliminer 2 variables.
Qui contient 8 termes on peut éliminer 3 variables.
Qui contient 16 termes on peut éliminer 4 variables.
L’expression logique finale est la réunion (la somme) des groupements après simplification et
élimination des variables qui changent d’état
Maurice Karnaugh (4 octobre 1924 à New York), est un ingénieur en
télécommunications. Il a développé la table de Karnaugh aux
laboratoires Bell en 1953. C'est un spécialiste de physique et
mathématique logique (algèbre de Boole).

16
Exemple de groupement à trois variables :

Exemple de groupement à quatre variables :

17
Circuits logiques : Les opérateurs arithmétiques et de comparaison

I- Introduction
Exemple 1 : En 1946, le premier ordinateur de l’histoire est créé. Ce monstre pèse plus de 30 tonnes
et occupait une surface de 72 m². Il dispose de 20 calculateurs capables de réaliser 100.000
additions ou 357 multiplications par seconde.
Exemple 2 : Un parking public payant est à double issues. La gestion de ce parking consiste à
totaliser l’ensemble des véhicules entrants, retrancher les véhicules sortants et signaler aux usagers
que les places disponibles sont épuisées. Ce système est équipé de calculateurs réalisant les
opérations d’additions et de soustractions.
Conclusion : Tout système de gestion des nombres est équipé d’un calculateur permettant de
réaliser des opérations d’arithmétique binaire telles que l’addition; la soustraction; etc. Comment
effectuer les opérations d’arithmétique binaire?
II- Additionneur
II-1- Demi-additionneur
Définition : C’est un circuit qui reçoit à son entrée 2 variable binaires x et y et qui fournit à sa sortie
deux autres variables binaires S présente la somme arithmétique de x et y alors que R présente la
retenue de cette somme.
x 1/2 ADD S
y R

Table de vérité : Equation : Schéma :


x y S R S= x ⊕ y
0 0 0 0 R = x.y
0 1 1 0
1 0 1 0
1 1 0 1

Remarques :
Un demi-additionneur est un additionneur pour lequel la retenue précédente est
systématiquement nulle.
Le demi-additionneur ne peut faire que l’addition des deux chiffres de plus faible poids,
puisqu’il ne peut pas prendre en compte la retenue qui provient d’une addition précédente.
Pour résoudre cette difficulté on utilise un circuit à trois entrées: c'est l’additionneur complet
(A.C).
II-2- Additionneur complet
Définition : C’est un circuit qui fournit la somme et la retenue de deux chiffres binaires et de la
retenue du rang précédent. Pour cela l’additionneur complet est un circuit à trois entrées x, y et z
(report précédent) et possédant toujours deux sorties somme S et retenue R.
x S
y A.C
R
z

18
Table de vérité : Equations : Schéma :
x y z S R S= x ⊕ y ⊕ z
0 0 0 0 0 R = xy + ( x ⊕ y ) z
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
Remarque : On peut réaliser un A.C à partir de deux demi-additionneurs placés en série, la retenue
finale étant obtenue par un «OU» des demi-retenues.
z 1/2 S2 S
x 1/2 S1 ADD R2 R
y ADD R1

II-3- Additionneur parallèle à retenue propagée


A partir des additionneurs complets (A.C), il est facile d'effectuer l'addition de deux nombres
binaires à n bits. Cette addition est réalisée par la mise en cascade de n additionneurs complets. Il
s'agit d'un additionneur parallèle, parce qu'on additionne toutes les colonnes en même temps.

x0 y0 z0 x1 y1 z1 x2 y2 z2 xn-1 yn-1 zn-1

S0 R0 S1 R1 S2 R2 Sn-1 Rn-1

L’additionneur a propagation de retenue à 2 grandes caractéristiques: petit et lent. On voit que la


retenue se propage d’un étage à l’autre ce que signifie que le temps de propagation s’ajout. On
choisit ce genre de circuit quand la vitesse n’est pas très importante.
II-4- Additionneurs à retenue anticipée
Principe : le calcul des retenues est fait directement à partir des entrées
Avantage : calculs en parallèle (gain en rapidité)
Inconvénient : plus de portes logiques (coût en complexité matérielle)
Rappel : R i = x i . yi + ( x i ⊕ yi ) .R i-1
On pose : G i = x i . yi (terme de génération) et Pi = x i ⊕ yi (terme de propagation). L’expression de
Ri peut s’écrire de la façon suivante : R i = G i + Pi .R i-1 . On en déduit aisément les expressions des
retenues de chaque colonne en fonction des termes de génération et de propagation :
R0 = G0 + P0R-1
R1 = G1 + P1R0 = G1 + G0P1 + R-1P0P1
R2 = G2 + P2R1 = G2 + G1P2 + G0P1P2 + R-1P0P1P2
R3 = G3 + P3R2 = G3 + G2P3 + G1P2P3 + G0P1P2P3 + R-1P0P1P2P3

19
On voit ainsi que chacune des retenues ne dépend que des nombres à additionner par l’intermédiaire
des fonctions Gi et Pi et la retenue restante R-1
Une fois calculées les expressions des retenues, on calculera l’expression des sommes partielles Si
en fonction de Gi et Pi
Si = x i ⊕ yi ⊕ R i-1 = Pi ⊕ R i-1 avec Ri-1 est fonction de Gi et Pi
On note bien que les temps de calcul des retenues sont égaux à la somme des temps de transit dans
une porte ET et une porte OU en cascade. Cela montre l’augmentation de rapidité d’exécution des
opérations. D’où l’intérêt des additionneurs à retenues anticipées. Toute fois cette rapidité
s’accompagne d’une augmentation sensible de la complexité n’est plus un problème avec
l’évolution de la technologie intégrée.
De nombreux additionneurs sous forme intégrée exploitent la technique de l’anticipation du report
afin de réduire le temps de retard de propagation. La structure à retenue anticipée est donnée par la
figure ci-dessous.
Cette structure d’additionneur à retenue anticipée est celle qui est adoptée pour la réalisation des
circuits intégrés (on ne fabrique plus additionneurs à retenues propagées). Parmi les additionneurs
les plus courant CI7483.
Il existe d’autres circuits intégrés qui ne calculent que les retenues Ri en fonction de Gi et Pi. C’est
le cas du générateur de retenue anticipée 74182. C’est un circuit très utile car il sert à anticiper la
création du report, surtout quand on l’utilise avec l’unité arithmétique et logique

III- Soustracteur
III-1- Demi-Soustracteur
Définition : C’est un circuit qui reçoit a son entrée 2 variables binaires x et y et qui fournit à sa
sortie deux autres variables binaires D et B où D représente la différence arithmétique de x et y et B
le report de cette différence
x 1/2 SUB D
y B

20
Table de vérité : Equation : Schéma :
x y D B D=x⊕y
0 0 0 0 B= x.y
0 1 1 1
1 0 1 0
1 1 0 0

III-2- Soustracteur complet


Définition : C’est un circuit qui reçoit a son entrée 3 variables binaires x, y et z et qui fournit à sa
sortie deux autres variables binaires D et B où D représente la différence arithmétique de x, y et z et
B le report de cette différence
x D
y SUB
B
z

Table de vérité : Equations : Schéma :


x y z D B D=x⊕y ⊕z
0 0 0 0 0 B = z. ( x ⊙ y ) + x . y
0 0 1 1 1
0 1 0 1 1
0 1 1 0 1
1 0 0 1 0
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1
Remarque : On peut réaliser un soustracteur complet à partir de deux demi-soustracteurs placés en
série, le report final étant obtenu par un «OU» des demi-reports.
z SUB D2 D
x SUB D1 B2 B
y B1

Remarque : On peut réaliser un soustracteur complet à partir des A.C. Par exemple soit à soustraire
deux nombres binaires à 4 bits X – Y = x3x2x1x0 – y3y2y1y0. Pour effectuer cette soustraction, il faut
tout d’abord effectuer le complément à 2 de y3y2y1y0, pour cela on prend le complément à 1 et on
ajoute ensuite 1 au bit de poids le plus faible

c y3 c y2 c y1 c y0
c = 0 addition x4 x3 x1 x0
c
c = 1 soustraction

R3 S3 R2 S2 R1 S1 R0 S0

21
IV- Multiplieur
Le processus de la multiplication est illustré par un exemple de multiplication de deux nombres
binaires à 4 bits :

La multiplication de deux nombres binaires à 4 bits est effectuée par un multiplieur parallèle
utilisant des additionneurs complets (A.C) selon le schéma « cellulaire » de la figure ci-dessous.

V- Circuits comparateurs
On voudrait comparer deux grandeurs entiers x et y codées sur n bits chacune : x=xn-1xn-2..........x1x0,
y=yn-1yn-2..........y1y0. Cette comparaison aboutit aux résultats suivants : x < y ; x = y ; x > y.
Le circuit que nous cherchons peut être réalisé à partir de comparateurs élémentaires pouvant
comparer deux éléments binaires xi et yi.
n
x S = 1 si x > y ; S = 0 si non
Comp. Elem E = 1 si x = y ; E = 0
n si
y I =non
1 si x < y ; I = 0 si
non
Définition : Un comparateur élémentaire est un circuit logique qui reçoit à son entrée deux
grandeurs binaires xi et yi et fournit à sa sortie 3 grandeurs binaires Si, Ei et Ii telles que
Si = 1 si xi > yi ; Si = 0 si non
Ei = 1 si xi = yi ; Ei = 0 si non
Ii = 1 si xi < yi ; Ii = 0 si non

22
Table de vérité : Equations : Schéma :
x y I E S S= x.y
0 0 0 1 0 E=x⊙y
0 1 1 0 0
I= x.y
1 0 0 0 1
1 1 0 1 0
Application : Comparer 2 mots de 2 bits, et donner son circuit à partir des comparateur
élémentaires.
E = 1 pour X = Y si x1 = y1 et x0 = y0
S = 1 pour X > Y si x1 > y1 ou x1 = y1 et x0 > y0
I = 1 pour X < Y si x1 < y1 ou x1 = y1 et x0 < y0
On trouve:
Equations : Schéma :
(
S = x1 . y1 + ( x1 ⊙ y1 ) x 0 . y 0 )
E = ( x1 ⊙ y1 )( x 0 ⊙ y0 )

(
I = x1 . y1 + ( x1 ⊙ y1 ) x 0 . y 0 )

23
Circuits logiques : Les opérateurs de transcodage

I- Transcodage
Exemple 1 : On trouve beaucoup les afficheurs 7 segments dans les réveils, car ils servent
principalement à afficher l’heure.
Exemple 2 : Lorsqu’une touche du clavier d’une calculatrice est enfoncée, un code binaire est alors
généré, ce code sera converti sous forme d’affichage numérique. Autre particularité des afficheurs 7
segments est non seulement de pouvoir afficher des chiffres (0 à 9), ils peuvent également afficher
certaines lettres de l’alphabet.
Conclusion : Dans les systèmes numériques (calculatrices, micro-ordinateur etc...) les données et les
informations sont codées en binaire. Leur transfert nécessite des fonctions qui peuvent être
réalisables grâce à des circuits intégrés spécifiques appelés circuits combinatoires.
I-1- Introduction
Les circuits de transformation des codes font la transposition des données d'un code à un autre. Ils
jouent le rôle d'interprète entre l'homme et la machine (codeur), entre machine et machine
(transcodeur), entre la machine et l'homme (décodeur)
Les circuits logiques ne peuvent traiter que des informations binaires. Ainsi, tout problème, avant
d’être traité par le calculateur, doit être transcrit sous forme binaire : cette opération s’appelle le
codage. Le résultat est donné sous forme binaire par le calculateur. Il faut alors le retranscrire dans
le langage original, seul exploitable par l’homme : cette opération s’appelle le décodage.
l’information
Traitement de

Affichage 7
Décodeur

segments
Clavier

Codeur

I-2- Codeur
Un codeur est un circuit logique qui possède 2n voies d’entrée dont une seule est active et n voies de
sortie, ce qui correspond à un nombre binaire en sortie. On l'appelle aussi encodeur.
A titre d’exemple, un tel circuit peut être associé à un clavier, lorsqu’une touche du clavier est
enfoncée, un code binaire est alors généré. Pour un clavier à 84 touches (26 lettres minuscules, 26
lettres majuscules, 10 chiffres et 22 caractères divers), il faut donc 7 bits de sortie (27 = 128) pour
codes ces 84 touches du clavier. On remarque bien que le codage réduit le nombre de variables à
traiter. Autre exemple : panneau lumineux indicateur de trajet dans le métro ; la sélection d’une
destination particulière entraîne l’allumage d’une série de lampes qui balise l’itinéraire.
E0 S0
E1 S1
2n entrées Codeur n sorties

EM-1 Sn-1

I-2-1- Codeur 4 voies d’entrées et 2 bits de sortie

E0 S0
E1 S1
Codeur
E2 S2
E3 S3
24
Table de vérité Equations Schéma :
E3 E2 E1 E0 S1 S0 S0 = E1 + E3
0 0 0 1 0 0 S1 = E2 + E3
0 0 1 0 0 1
0 1 0 0 1 0
1 0 0 0 1 1

I-2-2- Codeur de priorité


Si nous activons simultanément des entrées E1 et E2 du codeur ci-dessus, les sorties S1S0 présente le
nombre 11 qui ne correspond pas au code de l’une ou de l’autre des entrées activées. C’est plutôt le
code qui représente l’activation de E3.
Pour résoudre ce probleme on utilise un codeur de priorité qui choisit le plus grand nombre lorsque
plusieurs entrées sont activés simultanément S1S0 sera égale à 10 qui représente l’activation de E2
Table de vérité
E3 E2 E1 E0 S1 S0
0 0 0 1 0 0
0 0 1 X 0 1
0 1 X X 1 0
1 X X X 1 1
I-2-3- Codeur décimal / BCD
Principe : Lors du dialogue avec une machine (calculatrice par exemple), l’opérateur introduit ses
données à l’aide d’un clavier, utilisant les symboles du système décimal. Il va falloir convertir ces
informations décimales en informations binaires exploitables par la machine.
Il s’agit du codeur BCD à dix voies d’entrée (les chiffres décimaux), et qui produit en sortie
l’équivalent binaire du chiffre décimal appliqué à l’entrée.
1 2 3
4 5 6
Codeur Machine
7 8 9
0

Table de vérité : Les équations :


Chiffre E8 E7 E6 E5 E4 E3 E2 E1 E0 S3 S2 S1 S0 S0 = E1+E3+E5+E7+E9
décimal S1 = E2 + E3 + E6 + E7
0 0 0 0 0 0 0 0 0 0 0 0 0 0 S2 = E4 + E5 + E6 + E7
1 0 0 0 0 0 0 0 0 1 0 0 0 1 S3 = E8 + E9
2 0 0 0 0 0 0 0 1 0 0 0 1 0
3 0 0 0 0 0 0 1 0 0 0 0 1 1
4 0 0 0 0 0 1 0 0 0 0 1 0 0
5 0 0 0 0 1 0 0 0 0 0 1 0 1
6 0 0 0 1 0 0 0 0 0 0 1 1 0
7 0 0 1 0 0 0 0 0 0 0 1 1 1
8 0 1 0 0 0 0 0 0 0 1 0 0 0
9 1 0 0 0 0 0 0 0 0 1 0 0 1

25
Ce codeur ne fonctionne convenablement que si une entrée est activée à la fois. En effet si deux
entrées sont activées simultanément, le résultat du codage ne correspond à aucune des deux entrés.
En effet, si par exemple on porte simultanément à 1 les entrées E2 et E4, on obtient en sortie le
nombre binaire 0110, ce qui correspond au code binaire de l’entrée E5. Pour éviter ces erreurs, il
faut utiliser un codeur de priorité
I-3- Décodeur
Définition : Un décodeur est un circuit logique combinatoire qui a une entrée binaire de n bits
permettant 2n combinaisons et M sorties telles que 2n ≥ M. suivant le type de décodeur, la sortie
peut traduire deux fonctions
Convertisseur de code à un code de sortie d’entrée correspond un code de sortie. Exemple : un
décodeur binaire octale possède 3 bits d’entrés permettant 23 = 8 combinaisons pour activer
chacun des 8 sortie de l’octal. Autre exemple : clavier de porte automatique : le déverrouillage
de la porte s’effectue lorsqu’on présente au clavier la bonne combinaison.
Sélecteur de sortie : une seule sortie parmi les M disponibles est activée à la fois en fonction
de la valeur binaire affichée. Ces fonctions permettent d’activer (sélectionner) un circuit
intégré parmi plusieurs. Exemple d'utilisation d'un décodeur de ce type : dans un ordinateur,
les données sont stockées dans plusieurs "puces" mémoire et un décodeur permet au
microprocesseur de sélectionner la bonne puce en fonction de l'adresse à laquelle on veut lire
une donnée
I-3-1- Circuit décodeurs 1 parmi m :
Définition : Un décodeur 1 parmi m est un circuit logique déterminé par les points suivants :
Il a m sorties ym-1, ym-2 ……… y1, y0
Il a n entrées A, B, C …. qui permettent de choisir la sortie dont l’état électrique est différent
de celui toutes les autres (Les entrées sont appelées entrées d’adresse ou entrées de sélection)
Lorsqu’il est en fonctionnement il a une seule sortie dont l’état électrique est différent de celui
toutes les autres (Si une sortie est à 1 logique les autres se trouvent 0). La sortie dont l’état
électrique est différent de celui toutes les autres est dite active.

A y0
B y1
Décodeur .
C .
.
. ym-1
I-3-2- Synthèse d’un décodeur 1 parmi 4
Un décodeur 1 parmi 4 Il dispose de 4 sorties y3, y2, y1, y0 et de 2 entrées de sélection A et B
y0
A Décodeur y1
B 1/4 y2
y3
Table de vérité : Equations : Schéma :
B A y0 y1 y2 y3 y 0 = B.A
0 0 1 0 0 0
y1 = B.A
0 1 0 1 0 0
1 0 0 0 1 0 y 2 = B.A
1 1 0 0 0 1 y 3 = B.A

26
I-3-3- Synthèse d’un décodeur 1 parmi 4 avec une entrée de validation
Objectif : On veut concevoir un circuit décodeur ayant une entrée supplémentaire E logique ayant
les caractéristiques suivantes :
Si E = 0 le circuit fonctionne en décodeur ¼
Si E = 1 aucune sortie n’est active
Table de vérité : Equations : Schéma :
E x y y0 y1 y2 y3 y0 =E.B.A
0 0 0 1 0 0 0
y1 = E.B.A
0 0 1 0 1 0 0
0 1 0 0 0 1 0 y 2 = E.B.A
0 1 1 0 0 0 1 y3 = E.B.A
1 X X 0 0 0 0

Remarque : Certains n’utilisent pas toute la gamme de 2n combinaisons d’entrées possibles. C’est le
cas du décodeur BCD décimal qui a 4 bits d’entrées et 10 sorties, donc une seule est active dans
chacune des 10 représentations du BCD
I-4- Décodeur BCD / Décimal
Principe : Après traitement de l’information, il est nécessaire de décoder le résultat. Ce résultat,
codé en binaire, doit être retransformé en décimal en vue d’un affichage exploitable par l’utilisateur.
a0 S0
a1 . S1
a2 Décodeur .
a3 . S9
Table de vérité : Equations :
a3 a2 a1 a0 Sorties 0 = a 3 .a 2 .a1 .a 0 5 = a 3 .a 2 .a1 .a 0
0 0 0 0 0
1 = a 3 .a 2 .a1 .a 0 6 = a 3 .a 2 .a1 .a 0
0 0 0 1 1
0 0 1 0 2 2 = a 3 .a 2 .a1 .a 0 7 = a 3 .a 2 .a1 .a 0
0 0 1 1 3 3 = a 3 .a 2 .a1 .a 0 8 = a 3 .a 2 .a1 .a 0
0 1 0 0 4
0 1 0 1 5 4 = a 3 .a 2 .a1 .a 0 9 = a 3 .a 2 .a1 .a 0
0 1 1 0 6
0 1 1 1 7
1 0 0 0 8
1 0 0 1 9

27
II- Transcodeurs
Définition : Un transcodeur est un dispositif qui permet de faire passer une information écrite dans
le code C1 à un autre code C2. Les deux plus importantes applications des transcodeurs sont : la
conversion de code et l’affichage par segments
Exemple : lecteur de code barre : la combinaison des barres correspond à une succession de
nombres décimaux.

II-1- Convertisseurs binaires naturel en binaire Gray sur 4 bits


Cherchons le circuit d’un transcodeur qui permet de convertir le code binaire à 4 bits en code Gray
B0 G0
B1 Transcodeur G1
B2 Naturel en Gray
G2
B3 G3

Table de vérité : Schéma :


B3B2B1B0 G3 G2 G1 G0
0000 0 0 0 0
0001 0 0 0 1
0010 0 0 1 1
0011 0 0 1 0
0100 0 1 1 0
0101 0 1 1 1
0110 0 1 0 1
0111 0 1 0 0
1000 1 1 0 0
1001 1 1 0 1
1010 1 1 1 1 Equations :
1011 1 1 1 0 G3 = B3
1100 1 0 1 0 G 2 = B3 ⊕ B2
1101 1 0 1 1 G1 = B2 ⊕ B1
1110 1 0 0 1 G 0 = B1 ⊕ B0
1111 1 0 0 0

II-2- Convertisseurs binaires Gray en binaire naturel sur 4 bits


Cherchons le circuit d’un transcodeur qui permet de convertir le code Gray à 4 bits en code binaire
G0 B0
G1 Transcodeur B1
G2 Gray en Naturel
B2
G3 B3

Table de vérité : Schéma :


28
G3G2G1G0 B3 B2 B1 B0
0000 0 0 0 0
0001 0 0 0 1
0011 0 0 1 0
0010 0 0 1 1
0110 0 1 0 0
0111 0 1 0 1
0101 0 1 1 0 Equations :
0100 0 1 1 1 B3 = G3
1100 1 0 0 0 B2 = G 3 ⊕ G 2
1101 1 0 0 1
B1 = G 3 ⊕ G 2 ⊕ G1
1111 1 0 1 0
1110 1 0 1 1 B0 = G 3 ⊕ G 2 ⊕ G1 ⊕ G 0
1010 1 1 0 0
1011 1 1 0 1
1001 1 1 1 0
1001 1 1 1 1

II-3- Transcodeur BCD-7 segments


II-3-1- Afficheur 7 segments

Afficheur à anodes communes Afficheur à cathodes communes


Un domaine d’application considérable des transcodeurs est celui de la conversion de données
binaires en une forme se prêtant à un affichage numérique. Les dix chiffres 0 à 9 sont affichés au
moyen d’un dispositif appelé afficheur à 7 segments. Cet afficheur est un ensemble de diodes
électroluminescentes (D.E.L) disposés comme le montre la figure ci-dessus.
On distingue deux types d’afficheurs : l’afficheur à anodes communes et celui à cathodes
communes. Dans le premier cas, toutes les anodes sont reliées à un même point, mis à 5V, de façon
à rendre lumineux le segment qui présente sur sa cathode un niveau bas. Dans le cas de l’afficheur à
cathodes commune, toutes les cathodes sont reliées un point commun qui doit être à la masse, de
façon que pour allumer un segment, il faut lui appliquer un niveau haut sur son anode.
II-3-2- Synthèse d’un transcodeur BCD-7 segments
Le tableau ci-dessous donne la table de vérité détaillant le fonctionnement du transcodeur BCD-7
segments permettant l’affichage des différents chiffres décimaux. Les variables d’entrée A, B, C, D
sont écrites en B.C.D, les variables de sortie a, b, c, d, e, f, g, correspondent à chacun des segments
de l’afficheur.

29
Pour obtenir les équations logiques de ce transcodeur, il faut établir les tableaux de Karnaugh relatif
à l’expression de chaque segment. Le circuit logique du transcodeur se déduit immédiatement à
partir de ces expressions simplifiées.
Table de vérité : Equations :
a =D + A.B + A.C + A.C
D C B A a b c d e f g Aff
0 0 0 0 1 1 1 1 1 1 0 0 b = C + A.B + A.B
0 0 0 1 0 1 1 0 0 0 0 1 c=C+A+B
0 0 1 0 1 1 0 1 1 0 1 2
d = A.C + C.B + A.B + A.B.C
0 0 1 1 1 1 1 1 0 0 1 3
0 1 0 0 0 1 1 0 0 1 1 4 e = A.C + A.B
0 1 0 1 1 0 1 1 0 1 1 5 f = A.D + A.B + B.C
0 1 1 0 0 0 1 1 1 1 1 6
g = D + B.C + A.B + B.C
0 1 1 1 1 1 1 0 0 0 0 7
1 0 0 0 1 1 1 1 1 1 1 8
1 0 0 1 1 1 1 0 0 1 1 9

30
Circuits logiques : Les opérateurs d’aiguillage

I- Introduction
La transmission des informations d’une station à une autre nécessite plusieurs lignes en parallèle, ce
qui est difficile à réaliser et très couteux lorsque les stations sont géométriquement éloignées l’une
de l’autre.
La solution est alors, transmettre en série sur une seule ligne, en utilisant à la station émettrice un
convertisseur parallèle/série (Multiplexeur) et à la station réceptrice un convertisseur série/parallèle
(Démultiplexeur).
Multiplexeur : Démultiplexeur :

. Entrée .
2n entrées . Sortie 2n sorties
.
. .

..... .....

An-1 A0 An-1 A0

Rôle : Aiguiller un signal d’entrée parmi 2n vers Rôle : Aiguiller un signal d’entrée vers une des
une sortie à l’aide de n bits d’adresse 2n sorties en fonction de l’état des bits d’adresse
Application : Conversion parallèle – série, Application : les démultiplexeurs réalisent la
aiguiller les informations de type série en sortie. fonction inverse du multiplexeur, conversion
Toutes les combinaisons d’adresses sont d’une information de type série en une
énumérées par une sur les entrées de sélection information de type parallèle
Il est même possible de faire le problème inverse de transmettre ou recevoir des informations sur
une ligne unique de transmission (une ligne série), ce qui nécessite de transformer un nombre écrit
sous forme parallèle en une suite de bits mis en série et vice-versa. C’est le rôle des circuits
multiplexeur/démultiplexeur.
II- Multiplexeurs
II-1- Introduction
Un multiplexeur est une sorte d'aiguillage logique : il permet de sélectionner une entrée de donnée
parmi n et d'envoyer la donnée présente sur cette entrée vers la sortie du circuit. On peut faire
l'analogie avec le bouton qui permet de choisir le tuner, le lecteur de CD ou le magnétophone sur
une chaîne HIFI et d'envoyer le signal qui en vient vers l'ampli et les enceintes.
Pour sélectionner une entrée parmi n, il faut donner au multiplexeur le numéro de cette entrée,
évidemment sous forme binaire : on appellera ce numéro l'adresse de l'entrée correspondante.
Par exemple avec 3 entrées d'adresses, on peut compter de 0 (000)2 à 7 (111)2 donc sélectionner une
entrée parmi 8. On parlera alors de multiplexeur 8 vers 1.
Autres application : Conversion parallèle – série, les informations disponibles sur des entrées en
parallèle sont mises en série
II-2- Position du problème
Imaginons 4 ordinateurs qui se partagent la même imprimante. Il faudrait entre ces ordinateurs et
l’imprimante un élément matériel qui permet d’aiguiller les informations de l’un parmi les 4
ordinateurs vers l’imprimante. Le modèle correspondant à cette application est le suivant :

31
Ordinateur 0 E0
Ordinateur 1 E1
M Y
Ordinateur 2 E2 U Imprimante
X
Ordinateur 3 E3

A1 A0

Système de sélection
Analyse et interprétation :
Un seul ordinateur a accès à l’imprimante à un moment donnée
Un système doit permettre la sélection de l’ordinateur qui doit utiliser l’imprimante
A1A0 sont des entrées de sélection ou entrée d’adresses qui permettent le choix de l’ordinateur
que l’on veut connecter à l’imprimante
E3E2E1E0 sont les entrées de données
Définition : Le système qui permet de faire cette fonction, identifiée par MUX, s’appelle
multiplexeur. Ce système permet un aiguillage des données, il est également appelé aiguilleur
Table de fonctionnement
A1 A0 Y
0 0 E0
0 1 E1
1 0 E2
1 1 E3
La fonction qui consiste à récupérer sur une sortie une entrée parmi m est appelée fonction
Multiplexage ou Aiguillage.
Note :
Il existe de types de MUX :
MUX analogique : Dans ce cas, les entrées de données sont analogiques (Ex : sin(wt), f(t),
capteur de température, capteur de vitesse …). La sortie de données est également analogique,
mais les entrées de sélection sont toujours numériques
MUX numérique : Dans ce cas tous les signaux sont numériques
II-3- Multiplexeur numérique
Schéma synoptique Exemple : Pour N = 2
E0 E0

E1 M E1 M
. U Y U Y
. X E2 X
.
E2n-1 E3
.....

An-1 A0 A1 A0

32
Note : A1A0 sont généralement identifiées par B et A : B pour A1, et A pour A0
Fonctionnement : Les entrées de sélections permettent de n’avoir à la sortie Y qu’une seule entrées
parmi toutes. L’entrée Ei que l’on récupère à la sortie Y est celle dont l’indice i en décimal
correspond à ce que l’on injecte en binaire sur les entrées de sélections, par exemple : A1A0 = 01
Y = E1
Exemple : Pour N = 2, on aura les entrées de sélection : A1A0, les entrées de données E0, E1, E2, E3
et la sortie de données : Y
A1 A0 Y
0 0 0 E0
1 0 1 E1
2 1 0 E2
3 1 1 E3
II-4- Application
Génération de fonctions logiques (réalisation de fonction logiques)
Exemple : Soit f une fonction logique de variables logiques a et b déterminer par sa table de vérité
ci-dessous
a b f
0 0 0 1
1 0 1 1
2 1 0 0
3 1 1 0
Problème : Comment réaliser f par un MUX
Proposition de solution : On peut prendre un MUX à deux entrées de sélections A1A0. On met a sur
A1 et b sur A0.
Table de vérité : Schéma :
A1 A0 f Y 0V 5V
a b
0 0 1 E0 E0
0 1 1 E1
E1
1 0 0 E2 Y = f(a,b)
1 1 0 E3 E2
Pour que : Y = f. Il faut que :
E3
E0 = 1 , E1 = 1 , E2 = 0 et E3 = 0

A1 ≡ a A0 ≡ b
Exercice :
f(a,b,c) = Majorité de a, b, c
f = 1 si le nombre de 1 dans la combinaison est plus grand que le nombre de 0
f = 0 si non
Proposer le schéma d’un montage permettant de réaliser f par un MUX à 3 entrées de
sélection
Proposer le schéma d’un montage permettant de réaliser f par un MUX à 2 entrées de
sélection

33
Table de vérité de f = Maj(1) Schéma :
a b c f Y
0 0 0 0 E0 E0
0 0 1 0 E1 E1
E2
0 1 0 0 E2 E3 Y
0 1 1 1 E3 E4
1 0 0 0 E4 E5
E6
1 0 1 1 E5 E7
1 1 0 1 E6
1 1 1 1 E7
a b c

Solution : Utiliser un MUX a deux entrées de sélection


Table de vérité Schéma :
A1A0 A1 A0 f Y f 0V 5V C
a b c
00 0 0 0 0 E0 0 E0
0 0 1 0 E1
E2 Y
01 0 1 0 0 E1 C E3
0 1 1 1
10 1 0 0 0 E2 C
1 0 1 1 c a b
11 1 1 0 1 E3 1
1 1 1 1

III- Démultiplexeur
Le démultiplexeur réalise la fonction inverse d’un MUX : il aiguille une seule entrée vers une parmi
plusieurs sorties.

Un démultiplexeur (DEMUX) est un circuit logique qui possède une seule entrée B, n entrées de
sélection (E0, E1, E2, … En-1) et 2n sorties (S0, S1, S2, … S2n-1). Il est dit : DEMUX 1 vers 2n
ou DEMUX 1 x 2n.
Il effectue la fonction inverse d’un multiplexeur, il transmet la donnée d’entrée vers une des sorties
selon le mot écrit aux entrées de sélection, il fonctionne comme un commutateur.

Les démultiplexeurs sont surtout utilisés dans les conversions série - parallèle.
Ils peuvent aussi faire office de décodeur.

34
Bascules

I- Introduction
Les différences parties du cours que nous avons étudiée représentent la logique combinatoire. Dans
ce cas l’état logique des différentes sorties d’un système ne dépend que des combinaisons des états
logiques de ses différentes variables d’entrée. On peut noter l’absence de la notion du temps et du
passé dans le fonctionnement d’un système combinatoire. Ce que nous allons présenter par la suite c
est la logique séquentiels tiennent compte de l’état du système. Ainsi les sorties dépendent des
entrées mais également de cet état.
La logique séquentielle permet de réaliser des circuits dont le comportement est variable avec le
temps. On note que la sortie d’un système, en logique séquentielle tient compte de ses entrées et de
son passé. D’une certaine façon on peut dire que la sortie actuelle dépend des entrées actuelles et
des sorties précédentes. L’étude de la logique séquentielle peut être divisée en deux parties :
Logique séquentielle asynchrone : les sorties réagissent immédiatement aux variations des
entrées
Logique séquentielle synchrone : les sorties se positionnent au signal d’horloge
II- Mise en situation
II-1- Présentation
La machine d’usinage, est entraînée par un moteur électrique M. Elle est commandée par deux
boutons poussoirs (m pour la mise en marche) et (a pour l’arrêt).
II-2- Fonctionnement
Le fonctionnement de cette machine est décrit par la table de fonctionnement suivante:
Information a m M
1 0 0 0 D’après la table de fonctionnement ci-dessus, on constate que :
2 0 1 1 Pour deux combinaisons différentes de variables d’entrée, la
3 0 0 1 sortie a la même valeur binaire (ligne 2 et ligne 3);
4 1 0 0 Pour une action simultanée sur (m) et (a), la sortie est mise à
l’arrêt (ligne 6).
5 0 0 0
6 1 1 0
II-3- Conclusion
Le système de commande de cette machine ne relève pas d’une étude par la logique combinatoire
car pour deux combinaisons différentes de variables d’entrée (m et a) correspond un seul état de la
variable de sortie M. Ce système est dit «système séquentiel».
Problème : Qu’est ce qu’un système séquentiel ? Comment mettre en œuvre un tel système ?
Définition : Un système est dit «système séquentiel», lorsque son évolution ne dépend pas
uniquement des informations données par ses variables d’entrée, mais aussi de l’état antérieur de
chacune de ses sorties.
III- Les bascules
Définition : Une bascule, dite flip-flop en terme technique, est un circuit séquentiel dont le
fonctionnement est basé sur la mémorisation d’une information élémentaire représenter par 1 bit (0
ou 1). C’est une mémoire à 1 bit. Elle possède deux sorties complémentaires identifiées par Q et Q
La fonction mémorisation d’une bascule utilise le principe de rétro-action. Ce principe consiste à
réinjecter en entrée les signaux de sortie elle utilise le processus de verrou (ou latch)

35
Note : Une bascule ne doit avoir que deux états de sorties : Q = 0 et Q =1 ou Q =1 et Q = 0
Conséquence : Les sorties Q = Q = 0 et Q = Q = 1 ne sont pas permises. On doit donc interdire toutes
entrées aboutissant à ces sorties
III-1-Bascules RS
III-1-1- Bascules R S asynchrones
Ces bascules peuvent être réalisées à l’aide de deux portes NAND ou deux portes NOR
Schéma par NAND : Logigramme : Table de vérité
S R Q n+1 Qn+1 Fonction
S S Q 0 0 1 1 Interdit
Q
R Q 0 1 1 0 Mise à 0
Q 1 0 0 1 Mise à 1
R 1 1 Qn Mémorisation
Qn

Fonctionnement : Lorsqu’on applique à l’entrée S = R = 0 alors on impose à la sortie l’état


Q = Q = 1 . Cette sortie est absurde car on a Q = Q . On doit par conséquent interdire toute entrée
aboutissant à la sortie
Note : Pour S = R = 1 , les sorties restent égales à elles mêmes
Notation : On note l’état précédent de la bascule Q n , Q n et l’état actuel Q n+1 , Qn+1 . Si non, si
Q n , Q n représentent les sorties actuelles alors Q n+1 , Qn+1 correspondent à la sortie future
Conséquence : Pour la bascule faite par le schéma précédent lorsque S = R = 1 alors Qn+1 = Qn et
Qn+1 = Q n on dit qu’on a un effet mémoire
Note : La sortie Q = Q = 1 correspondant à l’entrée S = R = 0 , n’est pas mémorisable. C’est une
sortie qui est instable. Si suite à l’entrée S = R = 0 on applique l’entrée S = R = 1 alors on ne pas
prévoir la sortie. Cette sortie est donc imprévisible et inutilisable
Note : Les terminologies S et R sont utilisées pour Set (mise à 1) et reset (mise à 0) respectivement.
Elles correspondent à la mise à 1 ou la mise à 0 de la sortie Q
III-1-2- Bascules RS asynchrones
Ces bascules peuvent être réalisées à l’aide de deux portes NAND ou deux portes NOR
III-2- Bascule RSH (RST ou RSClock)
Schéma par NAND : Logigramme : Table de vérité
S R Q n+1 Qn+1 Fonction
S Q 0 0 Qn Qn Mémorisation
R Q 0 1 0 1 Mise à 0
1 0 1 0 Mise à 1
1 1 1 1 Interdit
Définition : La bascule RSH est une bascule pour laquelle les entrées S et R ne sont prises en
compte qu’en coïncidence avec un signal de commande. Ce signal, appelé horloge, permet aux
entrées S et R d’agir simultanément sur la bascule. Nous avons alors une bascule synchrone
36
Schéma par NAND : Le schéma par des portes NAND d’une bascule RSH synchrone peut être
réalisé de la façon suivante :

Q
H
Q
R

Fonctionnement :
H = 1 : Lorsque le signal de commande, noté ici H est à 1 la boucle fonctionne comme
indiqué précédemment et les sorties suivent les effets des entrées S et R.
H = 0 : Lorsque le signal de commande H est à 0, la bascule est bloquée : il y a effet mémoire.
Q est indépendant des éventuels changements de S et R. L’état mémorisé correspond au
dernier état avant le changement d’état de la ligne de commande de 1 en 0
Logigramme : Table de vérité :
S H S R Q n+1 Q Fonction
n+1
Q 1 0 0 Effet mémoire
Qn Qn
H
1 0 1 0 1 Mise à 0
R 1 1 0 1 0 Mise à 1
1 1 1 1 1 Interdit
0 X X Qn Qn Effet mémoire

Note :
Pour le schéma de la bascule par des portes logiques NAND les entrées S et R agissent sur le
montage lorsque l’entrée H est au niveau haut.
IV- Bascule JK
Le terme bascule JK est inventé par le docteur Eldred Nelson, un scientifique américain. La bascule
JK est nommée en honneur de Jack Kilby ingénieur en électronique Américain

Jack Kilby (1923-2005). Inventeur des circuits intègres en 1958. Prix Nobel de physique en 2000
IV-1- Bascule JK simple
Objectif : L’objectif de la bascule JK est de lever l’ambigüité qui existe dans le fonctionnement de
la bascule RSH. Ceci peut être obtenu en asservissant les entrées R et S aux sorties Q et Q selon le
schéma de principe suivant :

37
Q S
J
Q
H
Q
K
Q R

Nous avons alors pour les signaux S et R : S = J.Q et R = J.Q


Schéma par NAND :
En utilisant des portes logiques à 3 entrées une bascule JK peut être réalisée par le schéma suivant :

J
Q
H
Q
K

Définition : Une bascule JK, est un système séquentiel synchrone. Elle est commandée par deux
entrées : J pour l’enclenchement et K pour le déclenchement. L’action simultanée sur J et K
engendre un changement d’état des sorties à chaque front d’horloge.
N.B.: Un front montant signifie un instant de transition de 0 à 1 du signal H ; un front descendant
signifie un instant de transition de 1 à 0 du signal H.
Signal d’horloge : Une bascule JK réagit soit au front montant du signal d’horloge soit au front
descendant.
Logigramme : Bascule JK à front montant Logigramme : Bascule JK à front descendant
J J
Q Q
H H

K K
Table de vérité : Bascule JK à front montant Table de vérité : Bascule JK à front descendant
H J K Q n+1 Q Fonction H J K Q n+1 Q Fonction
n+1 n+1

↑ 0 0 Qn Qn Effet mémoire ↓ 0 0 Qn Qn Effet mémoire


↑ 0 1 0 1 Mise à 0 ↓ 0 1 0 1 Mise à 0
↑ 1 0 1 0 Mise à 1 ↓ 1 0 1 0 Mise à 1
↑ 1 1 Qn Qn Etat précédent ↓ 1 1 Qn Qn Etat précédent
inversé inversé
X X Qn Qn Effet mémoire X X Qn Qn Effet mémoire

38
La table de vérité d’une bascule JK est la même que celle de la bascule RSH à la seule différence
que l’état interdit est remplacé par l’état précédent inversé.
IV-2- Bascule JK avec des entrées asynchrones Preset et Clear
Définition : Les entrées Preset (Pr) et Clear (Clr) sont des entrées asynchrones prioritaires qui
permettent de forcer la sortie Q à 1 ou à 0 ( Q à 0 ou à 1) respectivement quel que soit le signal sur
l’entrée horloge et quelles que soient les entrées de commande synchrones.
Schéma par NAND :
La figure suivante explicite le schéma logique d’une bascule JK avec les entrées asynchrones
Pr

J
Q
H
Q

Clr

Logigramme : Table de vérité :


Pr Clr Q n+1 Qn+1 Fonction
0 0 X X Interdit
J Pr Q
0 1 1 0 Mise à 1
H 1 0 0 1 Mise à 0
1 1 Qn Qn Fonctionnement
K Clr
synchrone

Les entrées Pr et Clr permettent d’imposer l’état initial de la bascule, par exemple à la mise
sous tension pour éviter tout aléa. En fonctionnement normal ces deux entrées doivent être
maintenues à 1
Une bascule JK a deux modes de fonctionnement :
Mode synchrone si les informations proviennent des entrées J, K et H;
Mode asynchrone si les informations proviennent de Pr ou de Clr
V- Bascule D
Une bascule D est obtenue à partir d’une bascule JK en envoyant simultanément une donnée sur
l’entrée J et son inverse sur l’entrée K : K = J
Logigramme : Table de vérité :
D J Q H D Q n+1 Qn+1

H ↑ 0 0 1
↑ 1 1 0
K X Qn Qn

39
VI- Bascule T :
Une bascule T est obtenue à partir d’une bascule JK en injectant le même état logique dans les
entrées J et K
Logigramme : Table de vérité :
T J Q H T Q n+1 Qn+1

H ↑ 0 Qn Qn

K
↑ 1 Qn Qn
X Qn Qn

40
Compteurs

I- Compteurs
I-1- Introduction :
Définition : Un compteur est un dispositif destiné à dénombrer des événements ( Sont très utilisés
dans les systèmes industriels pour gérer la production en quantités d’un même produit). Il est
constitué par un ensemble de n bascules interconnectées directement ou par des portes logiques. Il
peut donc mémoriser des mots de n bits.
Au rythme d’une horloge les bascules constituant ce compteur décrivent une séquence bien
déterminée c’est à dire occuper une suite d’états binaires
Un compteur constitué par n bascules ne peut avoir au maximum que 2n combinaisons ou états. Ces
états restent stables et accessibles entre les impulsions d’horloge. Ils ne sont pas forcément tous
décrits par le compteur. Le nombre total N dés états, successifs et différents décrits par un compteur
est appelé le modulo.
Notes :
Compteur à cycle complet : Un compteur modulo N, constitué par n bascules, est dit à cycle
complet si le nombre de ses états est égal à 2n : Dans ce cas le modulo est une puissance de 2.
On a N = 2n.
Compteur à cycle incomplet : Un compteur est dit à cycle incomplet si le nombre de ses états
est inférieur à N < 2n. Pour ce type de compteur un certain nombre d’états n’est jamais utilisé.
I-2- Types de compteurs
Les compteurs binaires peuvent être classés en deux catégories :
Les compteurs asynchrones : Se compose de bascules élémentaires (T ou D ou JK). Chacune
d'entre elles commandant l'horloge de la bascule suivante.
Les compteurs synchrones : Toutes les entrées d’horloge des bascules internent reçoivent le
même signal.
II- Synthèse des compteurs asynchrones
Définition : Un compteur asynchrone est constitué de n bascules B0, B1, …. Bn-1 de poids respectif
20, 21, ….. 2n et de sorties Q0, Q1, …. Qn-1 montées de telle façon que :
La bascule B0, de plus faible poids, reçoit les impulsions à compter.
Pour les autres bascules :
Si le front d’horloge est montant alors l’entrée d’horloge de chaque bascule est reliée à
la sortie secondaire de la bascule immédiatement précédente
Si le front d’horloge est descendant alors l’entrée d’horloge de chaque bascule est
branchée à la sortie principale de la bascule immédiatement précédente
Les entrées des bascules sont
Dans le cas d’utilisation de la bascule JK : Les entrées de toutes les bascules sont fixées
à 1 logique.
Dans le cas d’utilisation de la bascule T : Les entrées de toutes les bascules sont fixées à
1 logique.
Dans le cas d’utilisation de la bascule D : La sortie secondaire de la bascule Bi est
envoyée sur son entrée Di.
II-1- Compteur asynchrone à cycle complet
Exemple : Compteur asynchrone modulo 4 à base des bascules JK à front montant :

41
Exemple : Compteur asynchrone modulo 4 à base des bascules JK à front descendant :

Exemple : Compteur asynchrone modulo 4 à base des bascules T à front montant :

Exemple : Compteur asynchrone modulo 4 à base des bascules T à front descendant :

Exemple : Compteur asynchrone modulo 4 à base des bascules D à front montant :

Exemple : Compteur asynchrone modulo 4 à base des bascules D à front descendant :

Commentaires : Nous avons réalisé un compteur s’incrémentant d’une unité à chaque top d’horloge,
avec un cycle de quatre combinaisons. C’est donc un compteur modulo 4. Nous constatons que les

42
sorties Q0 et Q1, fournissent des signaux périodiques de fréquences respectivement 2 et 4 plus
faibles
II-2- Compteur asynchrone à cycle incomplet
II-2-1- Position du problème
On peut vouloir compter jusqu’à N qui ne soit pas une puissance de 2. Par exemple 10 (décimal) le
nombre n de bascules nécessaires est tel 2n > N. Lorsque le compteur atteint la valeur N-1 on doit
lui imposer de reprendre le comptage à partir de la valeur 0. On doit donc penser à utiliser des
bascules munies de l’entrée asynchrone Clear. Pour ramener le compteur à 000 on doit calculer une
logique combinatoire de commande sous forme d’un asservissement de l’entrée Clear pour remettre
le compteur à zéro tous les N coups
II-2-2- Exemple : Compteur asynchrone modulo 5 :
Equation Clear : Considérons par exemple un compteur modulo 5. Nous voulons que l’entrée Clear
soit à 0 lorsque le compteur atteint (5)10 = (101)2. Pour cela l’expression logique de Clear peut être :
Clr = Q 2 . Q0 = Q 2 + Q0

III- Décompteur asynchrone à cycle complet


Définition : Un décompteur est un système qui passe d’un état au suivant par décrémentation de 1.
Il démarre à une valeur non nulle pour aller vers un état final nul
Principe : Un décompteur asynchrone est constitué de n bascules B0, B1, …. Bn-1 de poids respectif
20, 21, ….. 2n et de sorties Q0, Q1, …. Qn-1 montées de telle façon que :
La bascule B0, de plus faible poids, reçoit les impulsions à compter.
Pour les autres bascules :
Si le front d’horloge est montant alors l’entrée d’horloge de chaque bascule est reliée à
la sortie principale de la bascule immédiatement précédente
Si le front d’horloge est descendant alors l’entrée d’horloge de chaque bascule est
branchée à la sortie secondaire de la bascule immédiatement précédente
Les entrées des bascules sont
Dans le cas d’utilisation de la bascule JK : Les entrées de toutes les bascules sont fixées
à 1 logique.
Dans le cas d’utilisation de la bascule T : Les entrées de toutes les bascules sont fixées à
1 logique.
Dans le cas d’utilisation de la bascule D : La sortie secondaire de la bascule Bi est
envoyée sur son entrée Di.

43
Exemple : Décompteur asynchrone modulo 4 à base des bascules JK à front montant :

IV- Décompteur asynchrone à cycle incomplet


Exemple : Décompteur asynchrone modulo 5. Ce compteur décompte de 4 à 0. Solution : forçage
par les entrées Pr et Clr.
Principe de fonctionnement : : Il faut provoquer une remise à la valeur de départ (Ici (4)10 = (100)2
binaire) des bascules lorsque nous atteignions la valeur binaire (7)10 = (111)2. Cela consiste à mettre
la valeur 1 sur le fil X. Il faut mettre la valeur : 1 sur l'entrée Clr de la bascule Q2 (cela provoque la
mise à un de la sortie), 1 sur l'entrée Pr de la bascule Q1 (cela provoque la mise à zéro de la sortie)
et 1 sur l'entrée Pr de la bascule Q0 (cela provoque la mise à zéro de la sortie). Nous obtenons Q2 =
1, Q1 = 0 et Q0 = 0 donc 4 en décimal. Cette valeur est obtenue pendant un très court instant
Démarche : Nous commençons par faire la table de vérité de l'entrée X ou des entrées de forçage
asynchrones. La valeur du départ est 4 puis le compteur décompte jusqu'à 0 (après la valeur zéro
comme nous utilisons un compteur constitué de 3 bascules nous obtenons la valeur 7) puis arrivée à
7 il effectue une mise a 4 pour revenir à la valeur 4. L'entrée X doit restée à 0 pour que le compteur
décompte et doit devenir égale à 1 pour faire la Mise à quatre de ce décompteur. Le fil X relie la
borne Clr de la bascule Q2, la borne Pr de la bascule Q1 et la borne Pr de la bascule Q0.
Table de vérité :
Q2 Q1 Q0 X Pr2 Clr2 Pr1 Clr1 Pr0 Clr0
4 1 0 0 0 0 0 0 0 0 0
3 0 1 1 0 0 0 0 0 0 0
2 0 1 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 0 0 0
7 1 1 1 1 0 1 1 0 1 0
6 1 1 0 X X X X X X X
5 1 0 1 X X X X X X X
Equations : Clr2 = Pr1 = Pr0 = X = Q2.Q1 et Pr2 = Clr1 = Clr0 = 0.

44
V- Compteurs / Décompteurs asynchrones à cycle complet
Exemple: compteur / décompteur asynchrone modulo 8.
Nous utilisons une variable de sélection X de telle sorte que :
X = 0 nous avons un compteur (utilisation de la sortie principale) : Q = X ⊕ Q
X = 1 nous avons un décompteur (utilisation de la sortie secondaire) : Q = X ⊕ Q

VI- Compteurs synchrones


Définition : Un compteur synchrone pour lequel toutes les bascules reçoivent en parallèle le même
signal d’horloge
Table de transition d’une bascule JK : La table de transition de la bascule JK peut être construite à
partir de sa table de vérité. Cette table donne les états dans lequel doivent se trouver les entrées J et
K pour obtenir chacune des quatre transitions possibles de la sorties Q. Une croix indique que l’état
de l’entrée considérée est indifférent : 0 ou 1. Par exemple, pour que la sortie Q passe de 0 à 1, il
faille que l’entrée J soit à 1, quel que soit l’état de l’entrée K. En effet cette transition peut être
obtenue par les entrées : J = K = 1 qui inverse l’état de la sortie Q de la bascule, ou J = 1 et K = 0
qui charge 1 dans cette bascule
Qn Qn+1 Jn Kn
0 → 0 0 X
0 → 1 1 X
1 → 0 X 1
1 → 1 X 0
Comme les deux entrées ne sont jamais spécifiées simultanément il est possible de choisir, pour
simplifier cette table, l’égalité des deux entrés : J = K. Mais la simplification de la table n’aboutit
pas forcément à une simplification de schéma
Exemple : Compteur synchrone modulo 6 : Il faudrait pour ce compteur 3 bascules
Equations :
J0 = 1 K0 = 1
J1 = Q 2 .Q0 K1 = Q 0
J 2 = Q1 .Q 0 K 2 = Q0

45
Série 1

Exercice 1 :
1- Déterminer l’équation du circuit de la
figure suivante :

2- Dresser la table de vérité de ce circuit


3- Quelle est la fonction logique réalisée et
quel est son symbole ?
Exercice 2 :
Exercice 4 :
Mêmes questions que l’exercice 1 pour les
Trouver la valeur logique de la sortie :
circuits suivants :

Exercice 3 :
Déterminer l’équation des circuits suivant et
donner la table de vérité de chaque circuit :

Exercice 5 :
Quelles sont les valeurs de X et Y lorsque A =
0, B = 1 et C = 0 ?

Exercice 6 :
Dessiner le circuit logique correspondant aux
expressions algébriques suivant :

46
( )
S1 = a + b . c

S2 = (a . b) + (a . c)

S3 = a + b + (a . b) + c
Exercice 7 :
Trouvez la table de vérité des expressions
algébriques suivantes
S1 = b + b a

( )
S2 = ( a + b ) b c

(
S3 = a b + a c a b)( )
S4 = ( c b + a )( c b + b )
Exercice 8 :
Simplifier algébriquement les équations
suivantes :
S1 = a b + a b + a b
S2 = a b c + a b c + a b c + a b c
S3 = a b + a b c + a b
S4 = a b c + a b c + a c + a b c + a b c
Exercice 9 :
Pour complémenter une expression
élémentaire, il faut complémenter les deux
termes ainsi que l'opérateur.
S = x+y 
→ S = x+ y = x . y
S=x.y 
→ S=x.y= x + y
Complémenter les équations suivantes :
S1 = c + a . b

(
S2 = c . a + b )
S3 = (a + b ) . (c + d )

S4 = a . b + c . d

(
S5 = a . b + c . e + d )

47
Série 2

Exercice 1 : a bc 00 01 11 10
On considère f(a,b,c,d) une fonction logique 0 0 0 1 1
de variable logiques a, b, c et d définie par 1 0 1 0 1
( )
l’équation logique suivante : f= a+b .c+a.d
1- Donner un schéma de f en n’utilisant que S2 =
des portes NAND.
2- Donner un schéma de f en n’utilisant que a bc 00 01 11 10
des portes NOR. 0 0 0 1 1
Exercice 2 : 1 1 0 1 1
1- Utilisez la table de Karnaugh pour
déterminer l'équation simplifie du circuit S3 =
:
a b 0 1 a bc 00 01 11 10
0 1 0 0 1 1 1 1
1 0 1 1 0 0 1 0
2- Avez-vous remarquez que c'est possible
d'effectuer cet opération avec une seule S4 =
porte ? Laquelle ?
3- Selon vous, est-ce-que les tables de
a bc 00 01 11 10
Karnaugh sont utiles pour simplifier des
fonctions a deux variables ? 0 1 0 1 1
1 1 0 0 1
Exercice 3 :
Simplifiez les fonctions suivantes en utilisant
les tableaux de karnaugh : S5 =
S1 =a.b.c+a.b.c+a.b.c+a.b.c
ab cd 00 01 11 10
S2 =a.b.c+a.b.c+a.b.c+a.b.c 00 1 1 1 1
S3 =a.c.d+a.b.c.d+a.b.c.d+a.b.c.d 01 0 0 0 0
+a.b.c.d+a.b.c.d+a.b.d 11 0 0 0 0
10 1 1 1 1
S4 =a.b.c.d+a.b.c.d+a.b.c.d+a.b.c.d
+a.b.c.d+a.b.c.d S6 =
S5 =b.c.d+a.b.c+b.c+a.c.d
Exercice 4 : ab cd 00 01 11 10
Utilisez les tables de Karnaugh pour 00 1 1 1 1
déterminer l'équation simplifiée : 01 1 0 0 1
a bc 00 01 11 10 11 1 0 0 1
0 0 0 1 0 10 1 1 1 1
1 0 1 1 1 S7 =

S1 =
48
ab cd 00 01 11 10 ab cd 00 01 11 10
00 1 0 0 1 00 1 1 1 0
01 0 0 0 0 01 1 1 0 1
11 0 0 0 0 11 1 1 0 1
10 1 0 0 1 10 1 1 1 0

S8 = S13 =

ab cd 00 01 11 10 ab cd 00 01 11 10
00 0 0 0 0 00 1 1 1 1
01 0 1 1 0 01 0 0 0 0
11 0 1 1 0 11 0 0 1 1
10 0 0 0 0 10 0 0 1 1

S9 = S14 =

ab cd 00 01 11 10 ab cd 00 01 11 10
00 1 1 1 1 00 1 1 1 1
01 1 1 1 1 01 1 1 0 1
11 1 1 1 1 11 1 1 1 1
10 1 1 1 1 10 1 1 1 1

S10 = S15 =

ab cd 00 01 11 10 ab cd 00 01 11 10
00 0 0 0 0 00 1 1 0 0
01 0 0 0 0 01 1 1 0 0
11 0 0 0 0 11 0 0 1 1
10 0 0 0 0 10 0 0 1 1

S11 = S16 =

ab cd 00 01 11 10 ab cd 00 01 11 10
00 1 1 1 1 00 0 1 1 0
01 0 0 0 0 01 1 1 1 1
11 1 1 1 1 11 1 1 1 1
10 1 1 1 1 10 0 1 1 0

S12 = S17 =

49
Exercice 5 : 4- Simplifier f par la méthode de Karnaugh
1- Simplifier à l'aide du tableau de en donnant son expression sous forme de
Karnaugh l'équation logique suivante : produit de sommes en montrant les
groupements utilisés
f = a bcd +a bcd +a bcd +a bcd + a bcd
5- Donner un schéma de f en n’utilisant que
2- D'après le tableau de Karnaugh ci- des portes NAND à 2 entrées
dessous, rechercher l'équation logique
réduite. 6- Donner un schéma de f en n’utilisant que
des portes NOR à 2 entrées
ab cd 00 01 11 10
00 1 1 1 1 Exercice 8 :
1- En utilisant les formes canoniques
01 1 1 1 1
montré que les fonctions suivantes sont
11 1 1 0 1
équivalentes : f1 = z t + x y z + y t et
10 1 1 1 1
f2 = z t + y t
Exercice 6 :
On considère f(x, y, z, t) une fonction logique, 2- Mettre la fonction suivante sous sa 1ère
de variable logique x, y, z et t définie par forme canonique :
l’équation logique suivante : ( )(
f = x+z x +t+z x+y+z )( )
f = x z t + x z t+ x z t + x y z t + x y z t + x y z t
3- Mettre la fonction suivante sous leur
+x yzt+x yzt 2ème forme canonique :
1- Donner la table de vérité de f f = x y+x y+yz+yzt
2- Donner la 1ère forme canonique de f 4- Dresser la table de vérité de la fonction :
3- Donner sa 2ème forme canonique de f ( )( )(
f = ( x + y+z ) x + y+z x + y+z x + y+z )
4- Simplifier f par la méthode de Karnaugh
en montrant les groupements utilisés Exercice 9 :
5- Donner un schéma de f en n’utilisant que Les trois haut-parleurs d'une salle de cinéma
des portes NAND à 2 entrées (a, b et c) peuvent être branchés sur un
6- Donner un schéma de f en n’utilisant que amplificateur qui possède deux sorties : la
des portes NOR à 2 entrées première d'impédance 4Ω (S4) et la deuxième
d'impédance 8Ω (S8).
Exercice 7 :
Lorsqu'un seul haut-parleur est utilisé, il
Soit f(x, y, z, t) une fonction logique de doit être relié à la sortie de 8Ω.
variable logique x, y, z et t définie par la table
Lorsque deux haut-parleurs sont utilisés,
de Karnaugh :
ils doivent être reliés tous les deux à la
xy zt 00 01 11 10 sortie de 4Ω (ils sont alors montés en
00 1 1 1 1 parallèle).
01 0 1 1 0 Le fonctionnement simultané des trois
11 1 0 0 1 haut-parleurs est interdit.
10 1 1 1 1 Déterminer les équations logiques des sorties
S4 et S8 en fonction de a, b et c.
1- Donner la 1ère forme canonique de f
Exercice 10 :
2- Donner sa 2ème forme canonique de f
Trois interrupteurs I1, I2, et I3 commandent le
3- Simplifier f par la méthode de Karnaugh démarrage de deux moteurs M1 et M2 selon
en donnant son expression sous forme de les conditions suivantes :
somme de produits en montrant les Le moteur M1 ne doit démarrer que si au
groupements utilisés moins deux interrupteurs sont fermés (Ii
= 1),
50
Dès qu'un ou plusieurs interrupteurs sont Exercice 12 :
activés, le moteur M2 doit démarrer. On veut commander une lampe L par trois
Donner les équations logiques de M1 et M2. interrupteurs K1, K2 et K3 à deux positions
Exercice 11 : telles que le changement d’état de l’un des
trois interrupteurs provoque un changement
Le système de commande du store étudié dans
d’état de la lampe. On suppose que pour K1 =
cet exemple est simplifié par rapport à la
K2 = K3 = 0 la lampe est éteinte.
réalité pour des raisons didactiques ; en effet le
fonctionnement correct du système nécessite 1- Donner la table de vérité de L
des temporisations et des fonctions de 2- Trouver son équation simplifiée
mémoire qui ne sont pas étudiées ici :
Si la luminosité du soleil (s), captée par
une cellule solaire, dépasse un seuil
prédéfini, on descend le store (D).
2 boutons poussoirs permettent la
descente (d) ou la montée (m) du store ;
un appui simultané sur les 2 boutons
entraîne la descente du store.
Si la vitesse du vent (v), captée par un
anémomètre, dépasse un seuil prédéfini,
on remonte le store ; ce fonctionnement
de sécurité est prioritaire sur tous les
autres.
Donner l'équation de la montée du Store M,
ainsi que son schéma, en dressant la table de
vérité du système et en utilisant le tableau de
Karnaugh.
Exercice 13 :
Simplifiez la fonction S en utilisant le tableau de karnaugh :
S 1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 0 1 0
e 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
d 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
c 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1
b 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
a 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

51
Série 3

Exercice 1 : a bc 00 01 11 10
Une serrure de sécurité s’ouvre en fonction de 0 1 0 0 0
quatre clés A, B, C D. Le fonctionnement de la 1 X X X X
serrure est défini comme suite :
S(A,B,C,D) = 1 si au moins deux clés sont
utilisées S3 =
S(A,B,C,D) = 0 sinon
Les clés A et D ne peuvent pas être utilisées en a bc 00 01 11 10
même temps. 0 X X X X
On remarque que si la clé A et D sont 1 1 0 X X
utilisées en même temps l’état du
système n’est pas déterminé. S4 =
Ces cas sont appelés cas impossibles ou
interdites comment représenter ces
cas dans la table de vérité ? a bc 00 01 11 10
Pour les cas impossibles ou interdites, il 0 1 1 1 1
faut mettre un X dans la T.V. 1 X X X X
Les cas impossibles sont représentés
aussi par des X dans la table de karnaugh S5 =
Il est possible d’utiliser les X dans des
regroupements par : a bc 00 01 11 10
Les prendre comme étant des 1
0 X X X X
Ou les prendre comme étant des 0
1 1 1 1 1
Il ne faut pas former des regroupements
qui contiennent uniquement des X
S6 =
Exercice 2 :
Utilisez les tables de Karnaugh pour
déterminer l'équation simplifiée : a bc 00 01 11 10
a bc 00 01 11 10 0 X X 0 0
0 0 0 X X 1 X X 1 0
1 1 0 X X
S7 =
S1 =
a bc 00 01 11 10
a bc 00 01 11 10 0 1 1 X 0
0 X X 0 1 1 X X X X
1 X X X X
S8 =
S2 =
a bc 00 01 11 10
0 0 X X 0
1 1 X X 1

52
S9 = ab cd 00 01 11 10
00 0 0 1 0
a bc 00 01 11 10 01 X X X X
0 X 0 0 X 11 X X X X
1 1 1 1 1 10 0 0 X X

S10 = S16 =

a bc 00 01 11 10 ab cd 00 01 11 10
0 X X X 1 00 1 1 1 1
1 X X X X 01 1 1 1 1
11 X X X X
S11 = 10 1 1 X 0

a bc 00 01 11 10 S17 =
0 X X X X
1 1 1 X X ab cd 00 01 11 10
00 X 0 0 X
S12 = 01 0 1 1 1
11 0 X 1 0
a bc 00 01 11 10 10 1 1 X X
0 0 0 X X
1 0 1 X X S18 =

S13 = ab cd 00 01 11 10
00 0 0 0 0
ab cd 00 01 11 10 01 X 0 1 1
00 0 1 X X 11 1 1 X 1
01 0 1 X X 10 1 0 0 X
11 X X X X
10 0 0 X X S19 =

S14 = Exercice 3 :
Un distributeur de boissons chaudes permet de
ab cd 00 01 11 10 distribuer du café ou du thé, avec ou sans lait,
00 X X 1 0 ou du lait seul. Trois boutons permettent de
commander le distributeur : « café », « thé », «
01 X X 1 0 lait ». Pour obtenir l'une de ces boissons seule,
11 X X X X il suffit d'appuyer sur le bouton correspondant.
10 X X X X Pour obtenir une boisson avec lait, il faut
appuyer en même temps sur le bouton
correspondant à la boisson choisie et sur le
S15= bouton « lait ».

53
De plus, le distributeur ne fonctionne que si un Calculer et simplifier les fonctions de
jeton a préalablement été introduit dans la restitution du jeton, J, de distribution du café,
fente de l'appareil. Une fausse manœuvre après C, du thé T, et du lait, L. On notera que la
introduction du jeton (par exemple, appui fonction de restitution du jeton peut
simultané sur « café » et « thé ») provoque la indifféremment être active ou non lorsque
restitution du jeton. Le lait étant gratuit, le aucun jeton n'est introduit dans l'appareil.
jeton est également restitué si du lait seul est
choisi.

54
Série 4 : Verrou RS

I- Le verrou de type RS. L'état du verrou est imposé par la


configuration des variables d'entrée.
Norme européenne
Cette opération se nomme forçage à . . .
R Q L'entrée . . . . du verrou est active et
force la sortie Q à l'état . . . .
S Étape 2 : R = 0 et S = 0
Entrée R : Quand cette donnée prend Indiquer sur le schéma structurel les états
l'état interne 1, un état 0 est mémorisé logiques des E/S.
par le verrou. Quand elle est à l'état
interne 0, elle n'a aucun effet. (R : Reset
ou forçage à l'état 0 de la sortie).
Entrée S : Quand cette donnée prend
l'état interne 1, un état 1 est mémorisé
par le verrou. Quand elle est à l'état
interne 0, elle n'a aucun effet. (S : Set ou
forçage à l'état 1 de la sortie).
Remarque : L'effet de la combinaison R = S = Lorsque le verrou évolue de l'étape 1
1 est précisé par le constructeur. vers la 2, seule R change d'état.
II- Etude du fonctionnement du verrou Cette situation n'engendre aucune
RS. évolution des variables de sortie.
Étudions le fonctionnement de la structure ci- Cette opération se nomme mémorisation.
contre. Pour cela, imposons aux deux variables L'état des variables de sortie à l'étape 2
d'entrée la séquence suivante : ne dépend que de l'état de ces variables à
Séquence R S Q Q l'étape antérieure 1.

1 1 0 Étape 3 : R = 0 et S = 1
2 0 0 Indiquer sur le schéma structurel les états
Temps logiques des E/S.
3 0 1
4 0 0
5 1 1

Étape 1 : R = 1 et S = 0
Indiquer sur le schéma structurel les états
logiques des E/S.

La sortie Q est portée à l'état . . . .


L'état du verrou est imposé par la
configuration des variables d'entrée.
Cette opération se nomme forçage à . . . .
L'entrée . . . . du verrou est active et
force la sortie Q à l'état . . . .

La sortie Q est portée à l'état . . . .

55
Étape 4 : R = 0 et S = 0 temps. (Même si mathématiquement
cette notion est concevable)
Indiquer sur le schéma structurel les états
Deux cas peuvent alors se produire.
logiques des E/S.
Premier cas :
R S Q Q Etape
1 1 1
0 1 1A
0 0 2
Deuxième cas :
R S Q Q Etape
Lorsque le verrou évolue de l'étape 3 1 1 1
vers la 4, seule S change d'état.
1 0 1A
Cette situation n'engendre aucune
0 0 2
évolution des variables de sortie.
Le verrou ne peut pas passer directement
Cette opération se nomme mémorisation.
de la configuration des entrées de la
L'état des variables de sortie à l'étape 4 phase 1 à celle de la phase 2.
ne dépend que de l'état de ces variables à
Les variables d'entrées R et S ne peuvent
l'étape antérieure 3.
pas changer d'état simultanément.
Non Complémentarité R = 1 et S = 1 Le passage de la phase 1 à la phase 2
Indiquer sur le schéma structurel les états impose une étape intermédiaire aléatoire.
logiques des E/S. Les deux cas possibles (1A ou 1B) pour
cette étape engendrent deux
Les deux variables de sortie ne sont pas
configurations possibles du verrou dans
dans des états complémentaires.
la phase 3.
Si la configuration des entrées R = 1 et S
Techniquement, le fait que la structure
= 1 est utilisée, il serait impropre
empruntera l'étape 1A ou l'étape 1B est
d'affecter aux sorties les symboles Q et
impossible à établir.
Q.
Ceci dépend de facteurs technologiques
non maîtrisés.
Aléa : évènement dépendant d'un hasard.
IV- La table de vérité
Le verrou possède deux entrées R et S.
La structure étudiée ne relève plus de la
logique combinatoire.
Le nombre de configurations ne dépend
III- Les aléas de fonctionnement. plus que du nombre des variables
d'entrée, mais aussi du temps.
Étudions la séquence suivante :
Le fabricant fournit une table de vérité.
R S Q Q Etape
Le temps est exprimé sous la forme
1 1 1 d'indices. A l'indice n est associée une
0 0 ? ? 2 date tn. A l'indice n+1 est associée une
Le passage de la phase 1 à la phase 2 date tn+1 postérieure à la date tn.
pose un problème. Si à la date tn, le verrou est dans une
En effet, deux variables d'entrées ne configuration de forçage, la sortie Q est
peuvent pas changer d'état en même à l'état logique Qn.

56
A la date tn+1, si les entrées du verrou
sont dans la configuration R = S = 0,
l'état de la sortie est mémorisé. Qn+1 = Qn
R S Qn+1 Q Etape
n+1

0 1 1 0 Forçage à 1
1 0 0 1 Forçage à 0
0 0 Qn Qn Mémorisation
1 1 ? ? Indéterminé

V- Diagramme des temps.


Établir les chronogrammes des deux sorties en fonction de l'évolution des deux entrées. Pour
chaque étape, préciser sous les chronogrammes, la configuration du verrou (mémorisation ...).

57
Série 5 : Verrou D

I- Fonctionnelle d’un verrou.


Le verrou de type D est un registre dont la fonction est de
1D
mémoriser une information.
C1 La donnée à mémoriser est présentée sur l'entrée 1D.
L'ordre de mémorisation est présenté sur l'entrée C1.
La table de vérité du verrou est la suivante :
C1 1D Q n+1 Q
n+1

1 0 0 1
1 1 1 0
0 X Qn Qn
Analysons cette table de vérité :
C1 = 1 (lignes 1 et 2 de la table). Le verrou se trouve dans une phase de forçage.
L'information présente sur l'entrée D est transférée sur la sortie Q. Le verrou est dit
transparent. L'état logique de la sortie Q est l'image de l'état logique de l'entrée 1D.
C1 = 0 (ligne 3 de la table). Le verrou se trouve dans une phase de mémorisation.
L'information présente sur l'entrée 1D et qui précède le front descendant de C1 est mémorisée.
Le verrou est dit verrouillé.
Si l'état logique présent sur l'entrée 1D évolue, l'état logique de la sortie Q reste stable.
II- Etude du symbole logique du verrou D.
Extrait de la norme des opérateurs logiques binaires :
Entrée D : l'état logique interne de cette entrée est mis en mémoire par l'opérateur.
Entrée C : la lettre C précise une notion de dépendance (commande). La notation de
dépendance concerne les relations entre états logiques internes. Elle est symbolisée par la
lettre C suivie d'un numéro d'identification.
Entrée influencée
1D Entrée de donnée D

Numéro d’identification
Symbole littéral de la relation commande

C1 Numéro d’identification
Accès influençant

Règle :
Quand une entrée affectante de type Cn est à un état logique interne 1, les entrées affectées
ont l'effet normalement défini par la fonction de l'élément.
Quand une entrée affectante est à un état logique interne 0, les entrées affectées sont inhibées.
III- Application.
Exercice 1 :
B 1D

A C1
58
. . . . . . . . . . . . . . . . . . . . . . . . . . .
Exercice 2 :
Établir le chronogramme de la sortie Q en fonction de l'évolution des entrées.

Exercice 3 :
Établir le chronogramme de la sortie Q en fonction de l'évolution des entrées.

Exercice 4 :
Établir le chronogramme de la sortie Q en fonction de l'évolution des entrées.

Exercice 5 :
Établir le chronogramme de la sortie Q1 puis de la sortie Q2.
59
Exercice 6 :
Établir le chronogramme des deux sorties Q1 et Q2.

Exercice 7 :
Établir le chronogramme de la sortie Q en fonction de l'évolution des entrées.

60
Série 6 : Bascule de type D

I- Différence entre un verrou et une bascule.


Vous pouvez remarquer que la seule différence qui existe entre le symbole d'un verrou de type D et
celui d'une bascule concerne l'entrée affectante C1.
Verrou de type D : Bascule de type D :

Considérons l'entrée affectante C1. Considérons l'entrée affectante C1.


Dans ce cas, l'état logique interne 1 Dans ce cas, l'état logique interne 1
est présent tant que l'état logique est présent lors d'une transition
externe existe. montante de l'état logique externe (0
Donc, durant le temps de maintient vers 1).
de l'état logique 1 externe, l'entrée Dans tous les autres cas, l'état
1D est validée. logique interne est 0.
L'entrée affectante est une entrée L'entrée affectante est une entrée
statique. dynamique.
Elle est symbolisée par un triangle

II- Analyse d’une table de vérité d’une bascule de type D.


C1 1D Qn+1 Ǭn+1 C1 = Front montant (lignes 1 et 2 de la table). La bascule se trouve
↑ 0 0 1 dans une phase d'écriture. L'information présente sur l'entrée D est
transférée sur la sortie Q.
↑ 1 1 0
C1 = Etat statique ou front descendant (lignes 3 et 4 de la table). La
X X Qn Ǭn bascule se trouve dans une phase de mémorisation. L'information
↓ X Qn Ǭn présente sur l'entrée 1D est mémorisée lors du front montant.
III- Application
Exercice 1 :
Établir les chronogrammes des sorties de la bascule en fonction de l'évolution de l'état des entrées.

. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
Exercice 2 :
Établir les chronogrammes des sorties de la bascule en fonction de l'évolution de l'état des entrées.

61
Exercice 3 :
Établir les chronogrammes des sorties de la bascule en fonction de l'évolution de l'état des entrées.

S R 1D C1 Q Commentaire
0 1 X X
1 0 X X
0 0 1 ↑
0 0 0 ↑

Exercice 4 :
Établir les chronogrammes des sorties de la bascule en fonction de l'évolution de l'état des entrées.

62
Exercice 5 :
Établir les chronogrammes des sorties de la bascule en fonction de l'évolution de l'état des entrées.

63
Série 7 : La fonction comptage

I- Principe de fonction
Chaque fois que nous serons en présence d'une fonction comptage, nous serons confrontés à une
configuration établie selon le schéma suivant :
Entrée séquentielle des Nombre
informations Fonction comptage d’informations

L'entrée de la fonction réceptionne les informations qui arrivent séquentiellement.


En sortie de la fonction se trouve un nombre. Ce nombre est le contenu du compteur.
Le contenu du compteur est représentatif du nombre d'informations recueillies par l'entrée.
II- Normalisation.
Deux cas peuvent se présenter :

Entrée de comptage (repérée parfois Entrée de décomptage.


CLK pour clock - horloge - dans les A chaque front montant présenté à cette
normes américaines) entrée, le contenu du compteur décroit
A chaque front montant présenté à cette de m unité(s).
entrée, le contenu du compteur croit de Si le symbole m est absent, le compteur
m unité(s). est décrémenté d'une unité (cas le plus
Si le symbole m est absent, le compteur courant).
est incrémenté d'une unité (cas le plus
courant).
III- Fonctionnement d’un compteur.
Exercice 1 :
Le symbole CTR3 signifie que :
Nous sommes en présence d'un compteur (CTR)
Ce compteur possède 3 sorties,
Le comptage est réalisé en binaire naturel.

Entrée de remise à Zéro Le compteur possède trois sorties repérées Q0,


(symbolisée aussi par R pour Q1, Q2. Elles sont respectivement de rang 0, 1, 2.
Reset). Le compteur travaille en binaire naturel.
Le contenu du compteur est Le poids de la sortie de rang 0 est de 1, celui de la
forcé à zéro lorsque cette entrée sortie de rang 1 de 2, celui de la sortie de rang 3
64
est active (état logique 1). de 4.
Cette entrée est prioritaire sur Si le contenu du compteur est 101 (binaire), son
toutes les autres. contenu exprimé en décimal est 1x4 + 0x2 + 1x1
=5
Compléter les chronogrammes ci-contre :

IV- Fonctionnement d’un décompteur.


Exercice 2 :
Compléter les chronogrammes ci-contre :

65
Série 8 : Compteur binaire

Exercice 1 :

Exercice 2 :

66
Série 9 : Compteur décimal

Exercice 1 :

Exercice 2 :

67
Série 10 : Compteurs synchrone

I- Etapes de synthèse d’un compteur synchrone


Calculer le nombre de bascules en fonction du nombre d’état ou du codage des sorties à
générer.
Tracer le chronogramme et déterminer pour chaque état présent les valeurs que les entrées
synchrones doivent prendre pour obtenir l’état suivant attendu.
Dresser la table d’évolution.
Etablir le tableau de karnaugh, pour simplifier les expressions des entrées synchrones (on
considère les sorties du compteur comme entrées du tableau).
Vérifier l’absence de cycles parasites.
II- Applications :
Exercice 1 :
Réaliser un compteur binaire synchrone modulo 6 utilisant des bascules JK :
Exercice 2 :
Réaliser un compteur binaire synchrone modulo 6 utilisant des bascules D :
Exercice 3 :
Réaliser un compteur binaire synchrone modulo 5 utilisant des bascules JK :
Exercice 4 :
En utilisant les bascules D, réaliser le générateur de séquence suivant :

0 4 1 3 5 2

Même question avec les bascules T:

68

Vous aimerez peut-être aussi