Vous êtes sur la page 1sur 65

Cours Electronique Numrique 2012-2013

Audioprothse 2eme Anne


Christophe Adessi
christophe.adessi@univ-lyon1.fr

LPMCN Universite Claude Bernard Lyon1

Electronique Numerique 2012-2013 p. 1/1

Sommaire
I Chane damplication et de ltrage de laudioprothse II Notions de traitement numrique du signal III Algbre combinatoire - circuits logiques
IV Logique sequentielle - applications

V Notions sur les composants

Electronique Numerique 2012-2013 p. 2/1

IV - Logique squentielle IV.A - Introduction

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.A - Introduction


En logique squentielle, le rsultat dpend des donnes linstant t mais galement de ltat prcdent des sorties.

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.A - Introduction


En logique squentielle, le rsultat dpend des donnes linstant t mais galement de ltat prcdent des sorties. Contrairement la logique combinatoire, on introduit en plus la notion de mmoire (typiquement, la bascule).

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.A - Introduction


En logique squentielle, le rsultat dpend des donnes linstant t mais galement de ltat prcdent des sorties. Contrairement la logique combinatoire, on introduit en plus la notion de mmoire (typiquement, la bascule). Ltat des sorties en logique squentielle dpendra de lordre dans lequel ont t appliques les combinaisons dentres c.a.d. la squence.

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.A - Introduction


En logique squentielle, le rsultat dpend des donnes linstant t mais galement de ltat prcdent des sorties. Contrairement la logique combinatoire, on introduit en plus la notion de mmoire (typiquement, la bascule). Ltat des sorties en logique squentielle dpendra de lordre dans lequel ont t appliques les combinaisons dentres c.a.d. la squence. On distingue 2 types de fonctionnement : Fonctionnement asynchrone, pour lequel la sortie logique peut changer dtat tout moment quand une ou plusieurs entres changent. Fonctionnement synchrone, ou le changement dtat est command par un signal dhorloge.

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.A - Introduction


En logique squentielle, le rsultat dpend des donnes linstant t mais galement de ltat prcdent des sorties. Contrairement la logique combinatoire, on introduit en plus la notion de mmoire (typiquement, la bascule). Ltat des sorties en logique squentielle dpendra de lordre dans lequel ont t appliques les combinaisons dentres c.a.d. la squence. On distingue 2 types de fonctionnement : Fonctionnement asynchrone, pour lequel la sortie logique peut changer dtat tout moment quand une ou plusieurs entres changent. Fonctionnement synchrone, ou le changement dtat est command par un signal dhorloge. Nous nous intresserons 3 types de composants : Les bascules ou montage bistable. Les registres. Les compteurs.

Electronique Numerique 2012-2013 p. 3/1

IV - Logique squentielle IV.B - Bascules


IV.B.1 - Bascules RS avec des portes NAND
IV.B.1.a - Table de vrit

Electronique Numerique 2012-2013 p. 4/1

IV - Logique squentielle IV.B - Bascules


IV.B.1 - Bascules RS avec des portes NAND
IV.B.1.a - Table de vrit
R Q

Q S

8 < Q Nous avons : : Q

= =

Q .R Q.S

= =

Q + R Q+S

Electronique Numerique 2012-2013 p. 4/1

IV - Logique squentielle IV.B - Bascules


IV.B.1 - Bascules RS avec des portes NAND
IV.B.1.a - Table de vrit
R Q

do, R=0 R=0 S=0 = = Q

Q = 1; Q = 1; Q = 1;

S=0 S=1 R=1

Q = 1 Q = 0 Q=0

Q S

8 < Q Nous avons : : Q

= =

Q .R Q.S

+ R Enn, si R = 1 et S = 1 Q = Q . Q+S

Electronique Numerique 2012-2013 p. 4/1

IV - Logique squentielle IV.B - Bascules


IV.B.1 - Bascules RS avec des portes NAND
IV.B.1.a - Table de vrit
R Q

do, R=0 R=0 S=0 = = Q

Q = 1; Q = 1; Q = 1;

S=0 S=1 R=1

Q = 1 Q = 0 Q=0

Q S

8 < Q Nous avons : : Q R 0 0 1 1 S 0 1 0 1 Q 1 1 0 Q

= = Q 1 0 1 Q

Q .R Q.S

+ R Enn, si R = 1 et S = 1 Q = Q . Q+S

Electronique Numerique 2012-2013 p. 4/1

IV - Logique squentielle IV.B - Bascules


IV.B.1 - Bascules RS avec des portes NAND
IV.B.1.a - Table de vrit
R Q

do, R=0 R=0 S=0 = = Q

Q = 1; Q = 1; Q = 1;

S=0 S=1 R=1

Q = 1 Q = 0 Q=0

Q S

8 < Q Nous avons : : Q R 0 0 1 1 S 0 1 0 1 Q 1 1 0 Q

= = Q 1 0 1 Q

Q .R Q.S

+ R Enn, si R = 1 et S = 1 Q = Q . Q+S Pour R = S = 1, les sorties sont complmentaires mais leur tat reste inchang. Les sorties sont toujours complmentaires, hormis pour la combinaison R = S = 0 (combinaison inutilise). R correspond "RESET" (mise zro) et S "SET" (mise 1).

Electronique Numerique 2012-2013 p. 4/1

IV - Logique squentielle
IV.B.1.b - Tableau de Karnaugh de Qn+1

Electronique Numerique 2012-2013 p. 5/1

IV - Logique squentielle
IV.B.1.b - Tableau de Karnaugh de Qn+1 "Ltat de repos" correspond R = S = 1. Cela confre la fonction mmoire la bascule RS. On note Qn ltat de la sortie linstant tn et Qn+1 ltat linstant suivant tn+1 . La sortie Qn+1 ne dpend pas uniquement de R et S mais galement de Qn (i.e. de la squence).

Electronique Numerique 2012-2013 p. 5/1

IV - Logique squentielle
IV.B.1.b - Tableau de Karnaugh de Qn+1 "Ltat de repos" correspond R = S = 1. Cela confre la fonction mmoire la bascule RS. On note Qn ltat de la sortie Sequence 1 : linstant tn et Qn+1 ltat tn : R = 0; S = 1 Qn = 1 (Q n linstant suivant tn+1 . tn+1 : R = 1; S = 1 Qn+1 = 1 (Q n+1 La sortie Qn+1 ne dpend Sequence 2 : pas uniquement de R et S tn : R = 1; S = 0 Qn = 0 (Q n mais galement de Qn (i.e. de tn+1 : R = 1; S = 1 Qn+1 = 0 (Q n+1 la squence).

= 0) = 0) = 1) = 1)

Tableau de Karnaugh de Qn+1 :

PP PP RS PP Qn P
0 1

00 1 1

01 1 1

11 0 1

10 0 0

Electronique Numerique 2012-2013 p. 5/1

IV - Logique squentielle
IV.B.1.b - Tableau de Karnaugh de Qn+1 "Ltat de repos" correspond R = S = 1. Cela confre la fonction mmoire la bascule RS. On note Qn ltat de la sortie Sequence 1 : linstant tn et Qn+1 ltat tn : R = 0; S = 1 Qn = 1 (Q n linstant suivant tn+1 . tn+1 : R = 1; S = 1 Qn+1 = 1 (Q n+1 La sortie Qn+1 ne dpend Sequence 2 : pas uniquement de R et S tn : R = 1; S = 0 Qn = 0 (Q n mais galement de Qn (i.e. de tn+1 : R = 1; S = 1 Qn+1 = 0 (Q n+1 la squence). Qn+1 = R + S.Qn
Tableau de Karnaugh de Qn+1 :

= 0) = 0) = 1) = 1)

PP PP RS PP Qn P
0 1

R
11 0 1 10 0 0

1 0

00 1 1

01 1 1

t t t

S Q

1 0 1 0

Electronique Numerique 2012-2013 p. 5/1

IV - Logique squentielle
IV.B.1.b - Tableau de Karnaugh de Qn+1 "Ltat de repos" correspond R = S = 1. Cela confre la fonction mmoire la bascule RS. On note Qn ltat de la sortie Sequence 1 : linstant tn et Qn+1 ltat tn : R = 0; S = 1 Qn = 1 (Q n linstant suivant tn+1 . tn+1 : R = 1; S = 1 Qn+1 = 1 (Q n+1 La sortie Qn+1 ne dpend Sequence 2 : pas uniquement de R et S tn : R = 1; S = 0 Qn = 0 (Q n mais galement de Qn (i.e. de tn+1 : R = 1; S = 1 Qn+1 = 0 (Q n+1 la squence). Qn+1 = R + S.Qn
Tableau de Karnaugh de Qn+1 :

= 0) = 0) = 1) = 1)

PP PP RS PP Qn P
0 1

R
11 0 1 10 0 0

1 0

00 1 1

01 1 1

t t t

S Q

1 0 1 0

Electronique Numerique 2012-2013 p. 5/1

IV - Logique squentielle IV.B - Bascules


IV.B.2 - Bascules RSH avec des portes NAND

Electronique Numerique 2012-2013 p. 6/1

IV - Logique squentielle IV.B - Bascules


IV.B.2 - Bascules RSH avec des portes NAND
La bascule RS est dite asynchrone. La sortie dpend tout instant de la succession des combinaisons appliques. La bascule RSH volue au rhytme dun signal dhorloge. Laction des entres sur ltat de mmorisation ne sera effective qu la rception dun front dhorloge. On parle alors de bascule synchrone.

Electronique Numerique 2012-2013 p. 6/1

IV - Logique squentielle IV.B - Bascules


IV.B.2 - Bascules RSH avec des portes NAND
La bascule RS est dite asynchrone. La sortie dpend tout instant de la succession des combinaisons appliques. La bascule RSH volue au rhytme dun signal dhorloge. Laction des entres sur ltat de mmorisation ne sera effective qu la rception dun front dhorloge. On parle alors de bascule synchrone. Les bascules, actives lorsque lentre dhorloge passe de ltat bas ltat haut ( ), sont dites actives sur front montant. Les bascules, actives lorsque lentre dhorloge passe de ltat haut ltat bas ( ), sont dites actives sur front descendant. Pour passer dune bascule active sur front montant une bascule active sur front descendant, il suft de rajouter un inverseur.

H H

R S R S

Q Q Q Q

Electronique Numerique 2012-2013 p. 6/1

IV - Logique squentielle
8 < R 1 Nous avons : : S1 do Si H = 0 = = R.H S.H
H R R1 Q

or, Qn+1 = R1 + S1 .Qn Qn+1 = R.H + S.H.Qn : Qn+1 = Qn


S S1

(R, S inoperant) Si H = 1 : Qn+1 = R + S.Qn

Quand H = 0, cest le mode bloqu.

Electronique Numerique 2012-2013 p. 7/1

IV - Logique squentielle
8 < R 1 Nous avons : : S1 do Si H = 0 = = R.H S.H
H R R1 Q

or, Qn+1 = R1 + S1 .Qn Qn+1 = R.H + S.H.Qn : Qn+1 = Qn


S S1

(R, S inoperant) Si H = 1 : Qn+1 = R + S.Qn


R S H Q
1 0 1 0 1 0 1 0

Quand H = 0, cest le mode bloqu.

t t t t

Cond. Init.

Qn = R = S = H = 0

Electronique Numerique 2012-2013 p. 7/1

IV - Logique squentielle
8 < R 1 Nous avons : : S1 do Si H = 0 = = R.H S.H
H R R1 Q

or, Qn+1 = R1 + S1 .Qn Qn+1 = R.H + S.H.Qn : Qn+1 = Qn


S S1

(R, S inoperant) Si H = 1 : Qn+1 = R + S.Qn


R S H Q
1 0 1 0 1 0 1 0

Quand H = 0, cest le mode bloqu.

t t t t

R 0 0 1 1

S 0 1 0 1

Qn+1 Qn 0 1 1

Cond. Init.

Qn = R = S = H = 0
R = S = 1 : Inutilisee

Electronique Numerique 2012-2013 p. 7/1

IV - Logique squentielle
8 < R 1 Nous avons : : S1 do Si H = 0 = = R.H S.H
H R R1 Q

or, Qn+1 = R1 + S1 .Qn Qn+1 = R.H + S.H.Qn : Qn+1 = Qn


S S1

(R, S inoperant) Si H = 1 : Qn+1 = R + S.Qn


R S H Q
1 0 1 0 1 0 1 0

Quand H = 0, cest le mode bloqu.

t t t t

R 0 0 1 1

S 0 1 0 1

Qn+1 Qn 0 1 1

Quand H = 1, cest une bascule R S asynchrone. On a deux tats commands. On a un tat mmoire. Fonctionnement synchrone (ou ip-op) pour ou

Cond. Init.

Qn = R = S = H = 0
R = S = 1 : Inutilisee

Electronique Numerique 2012-2013 p. 7/1

IV - Logique squentielle
IV.B.3 - Bascules D-Latch "verrou"

Electronique Numerique 2012-2013 p. 8/1

IV - Logique squentielle
IV.B.3 - Bascules D-Latch "verrou"
D Q H Q

D H Q

1 0 1 0 1 0

t t t

Electronique Numerique 2012-2013 p. 8/1

IV - Logique squentielle
IV.B.3 - Bascules D-Latch "verrou"
D Q H Q

Cest une bascule RS avec S = R. La combinaison R = S est impossible. Cest un montage suiveur qui recopie lentre. Nous avions Qn+1 = R.H + S.H.Qn .

D H Q

1 0 1 0 1 0

H=1
t t t

Qn+1 Qn+1

= =

D (suiveur) Qn (verrou)

H=0

do

Qn+1 = D.H + H.Qn

Remarque :

On ne parle plus dentre dhorloge mais de validation.

Electronique Numerique 2012-2013 p. 8/1

IV - Logique squentielle
IV.B.3 - Bascules D-Latch "verrou"
D Q H Q

Cest une bascule RS avec S = R. La combinaison R = S est impossible. Cest un montage suiveur qui recopie lentre. Nous avions Qn+1 = R.H + S.H.Qn .

D H Q

1 0 1 0 1 0

H=1
t t t

Qn+1 Qn+1

= =

D (suiveur) Qn (verrou)

H=0

do

Qn+1 = D.H + H.Qn

Remarque :

On ne parle plus dentre dhorloge mais de validation.

IV.B.4 - Seuil de transition


Les transitions dun tat bas vers un tat haut (et inversement), ne se font quau del (en dea) dun certain seuil. Cela permet de se prmunir dventuelles uctuations.

Electronique Numerique 2012-2013 p. 8/1

IV - Logique squentielle
: porte inverseuse, identit Les portes logiques, possdent un seuil en tension permettant de caractriser un niveau haut "1" et un niveau bas "0". Les portes possdent un tat de sortie par dfaut (habituellement bas).
t
S H

Exemple

S (V)
5

V min H V max L
0

H H

1 0
S H

La porte inverseuse passe en sortie "0" ds que S > VLmax et repasse "1" ds que S < VLmax . La porte identit passe "1" quand S > VHmin et repasse "0" ds que S <

1 0

t0

t1 t2

t3 t4

VHmin .

Electronique Numerique 2012-2013 p. 9/1

IV - Logique squentielle
: porte inverseuse, identit Les portes logiques, possdent un seuil en tension permettant de caractriser un niveau haut "1" et un niveau bas "0". Les portes possdent un tat de sortie par dfaut (habituellement bas).
t
S H

Exemple

S (V)
5

V min H V max L
0

H H

1 0
S H

La porte inverseuse passe en sortie "0" ds que S > VLmax et repasse "1" ds que S < VLmax . La porte identit passe "1" quand S > VHmin et repasse "0" ds que S <

1 0

t0

t1 t2

t3 t4

VHmin .

IV.B.5 - Bascule D Maitre-Esclave


Position du probleme :

Comment obtenir un effet "verrou" uniquement sur un front montant

ou descendant . La solution est dassocier 2 bascules en cascade, la 2me tant asservie par la premire.

Electronique Numerique 2012-2013 p. 9/1

IV - Logique squentielle
Lhorloge de la 1ire bascule est inverse par rapport la 2me . Lentre de lesclave recopie la sortie QM du matre.
D QM QS H

Electronique Numerique 2012-2013 p. 10/1

IV - Logique squentielle
Lhorloge de la 1ire bascule est inverse par rapport la 2me . Lentre de lesclave recopie la sortie QM du matre.
D QM QS H

H
S (V)
5

V min H V max L
0

H H

1 0 1 0

t0

t1 t2

t3 t4

La transition diffre du matre et de lesclave permet une transmission uniquement durant le front montant.

De t0 t1 , le matre est en "suiveur" et lesclave en "verrou" : QM = D De t1 t2 , le matre est en "verrou" et lesclave en "verrou" : QM = D(t1 ) De t2 t3 , le matre est en "verrou" et lesclave en "suiveur": QS = QM = D(t1 ) De t3 t4 , le matre est en "verrou" et lesclave en "verrou": QS = D(t1 ) Au del de t4 , lesclave reste en "verrou": QS = D(t1 )

Electronique Numerique 2012-2013 p. 10/1

IV - Logique squentielle
IV.B.6 - Bascule JK

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle
IV.B.6 - Bascule JK
J H S1 Q R1 Q

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle
IV.B.6 - Bascule JK
Nous avions : Qn+1 = R1 + S1 .Qn . De plus, R1 = J.H.Qn et S1 = K.H.Qn . Q Do, Qn+1 = J.H.Qn + K.H.Qn .Qn . On obtient ainsi :

J H

R1

S1

Qn+1 = J.H.Qn + K.H.Qn

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle
IV.B.6 - Bascule JK
Nous avions : Qn+1 = R1 + S1 .Qn . De plus, R1 = J.H.Qn et S1 = K.H.Qn . Q Do, Qn+1 = J.H.Qn + K.H.Qn .Qn . On obtient ainsi :

J H

R1

S1

Qn+1 = J.H.Qn + K.H.Qn

J 0 0 1 1

K 0 1 0 1

Qn+1 Qn 0 1 Qn

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle
IV.B.6 - Bascule JK
Nous avions : Qn+1 = R1 + S1 .Qn . De plus, R1 = J.H.Qn et S1 = K.H.Qn . Q Do, Qn+1 = J.H.Qn + K.H.Qn .Qn . On obtient ainsi :

J H

R1

S1

Qn+1 = J.H.Qn + K.H.Qn

J
J 0 0 1 1 K 0 1 0 1 Qn+1 Qn 0 1 Qn

1 0 1 0 1 0 1 0

t t t t

K H Q

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle
IV.B.6 - Bascule JK
Nous avions : Qn+1 = R1 + S1 .Qn . De plus, R1 = J.H.Qn et S1 = K.H.Qn . Q Do, Qn+1 = J.H.Qn + K.H.Qn .Qn . On obtient ainsi :

J H

R1

S1

Qn+1 = J.H.Qn + K.H.Qn

J
J 0 0 1 1 K 0 1 0 1 Qn+1 Qn 0 1 Qn

1 0 1 0 1 0 1 0

t t t t

K H Q

Il ny a plus dambiguit pour J = K = 1 contrairement la bascule RSH.

Electronique Numerique 2012-2013 p. 11/1

IV - Logique squentielle IV.C - Compteur


IV.C.1 - Compteur asynchrone modulo 2n

Electronique Numerique 2012-2013 p. 12/1

IV - Logique squentielle IV.C - Compteur


IV.C.1 - Compteur asynchrone modulo 2n
Les compteurs asynchrones (ou encore srie) sont bass sur des bascules JK en srie avec J = K = 1. Le changement dtat se fait imprativement sur front descendant ( dcompteur). Le terme "modulo" dsigne le nombre dtats distincts en sortie.

J H K
H
1 0

Qa

J K

Qb

J K

Qc

Qa 1
0 Qb 1
0

t
1 0 1 0 0 1 0 1 0 0 0 0 0 1 1 1 0 1 0 1 0 1 1 0 1 0

t t t

Qc 1
0

Electronique Numerique 2012-2013 p. 12/1

IV - Logique squentielle IV.C - Compteur


IV.C.1 - Compteur asynchrone modulo 2n
Les compteurs asynchrones (ou encore srie) sont bass sur des bascules JK en srie avec J = K = 1. Le changement dtat se fait imprativement sur front descendant ( dcompteur). Le terme "modulo" dsigne le nombre dtats distincts en sortie. Avec 3 bascules en srie, nous avons un compteur modulo 8. Pour n bascules nous avons un compteur modulo 2n . Qa reprsente le LSB et Qc le M SB.

J H K
H
1 0

Qa

J K

Qb

J K

Qc

Qa 1
0 Qb 1
0

t
1 0 1 0 0 1 0 1 0 0 0 0 0 1 1 1 0 1 0 1 0 1 1 0 1 0

t t t

Qc 1
0

Electronique Numerique 2012-2013 p. 12/1

IV - Logique squentielle
E S

E1
0

S1
0

t t LH

Linconvnient du compteur est le dlai de propagation entre les entres et les sorties. Il existe un dlai (tLH ) entre le changement lentre et le changement en sortie. Ce dlai se cummule entre les diffrentes bascules.

Electronique Numerique 2012-2013 p. 13/1

IV - Logique squentielle
E S

E1
0

S1
0

t t LH

Linconvnient du compteur est le dlai de propagation entre les entres et les sorties. Il existe un dlai (tLH ) entre le changement lentre et le changement en sortie. Ce dlai se cummule entre les diffrentes bascules.

IV.C.2 - Compteur synchrone


H
1 0 t LH t HL

Qa 1 Qb 1 Qc 1
0 0 0

t
J=0 b Jb.Q b =0 J=1 b Jb.Q b =1

Les entre des bascules sont soit 1 soit 0 : J K Qn+1 0 1 0 1 Qn Qn

J=0 b Jb.Q b =0

J=1 b Jb.Q b =0

t t t

1 H

J K

Qa

J K

Qb

J K

Qc

Le dlai de propagation est le mme pour toute les bascules. Toute les bascules sont commandes par la mme horloge synchrone.

Du fait du dlai de propagation, la bascule b ne change dtat que pour un front descendant sur deux.

Electronique Numerique 2012-2013 p. 13/1

IV - Logique squentielle
IV.C.3 - Commande "Preset" et "Clear"
IV.C.3.a - Bascule RS initialisable

Electronique Numerique 2012-2013 p. 14/1

IV - Logique squentielle
IV.C.3 - Commande "Preset" et "Clear"
IV.C.3.a - Bascule RS initialisable
P R R1 Q

S C

S1

Electronique Numerique 2012-2013 p. 14/1

IV - Logique squentielle
IV.C.3 - Commande "Preset" et "Clear"
IV.C.3.a - Bascule RS initialisable
P R R1 Q

Nous avons : Qn+1 Qn+1

= = =

R1 + S1 .Qn R.P + S.C.Qn R + P + S.C.Qn .

avec, R1 = R.P et S1 = S.C


S C S1 Q

Qn+1

Electronique Numerique 2012-2013 p. 14/1

IV - Logique squentielle
IV.C.3 - Commande "Preset" et "Clear"
IV.C.3.a - Bascule RS initialisable
P R R1 Q

Nous avons : Qn+1 Qn+1

= = =

R1 + S1 .Qn R.P + S.C.Qn R + P + S.C.Qn .

avec, R1 = R.P et S1 = S.C


S C S1 Q

Qn+1

On considre ltat de mmorisation R = S = 1 : P = 1, C = 1 Qn+1 = Qn (inchang). P = 0, C = 1 P = 1, C = 0 P = 0, C = 0 Qn+1 = 1, sortie force 1. Qn+1 = 0, sortie force 0. Combinaison inutilise.

Electronique Numerique 2012-2013 p. 14/1

IV - Logique squentielle
IV.C.3 - Commande "Preset" et "Clear"
IV.C.3.a - Bascule RS initialisable
P R R1 Q

Nous avons : Qn+1 Qn+1

= = =

R1 + S1 .Qn R.P + S.C.Qn R + P + S.C.Qn .

avec, R1 = R.P et S1 = S.C


S C S1 Q

Qn+1

R
On considre ltat de mmorisation R = S = 1 : P = 1, C = 1 Qn+1 = Qn (inchang). P = 0, C = 1 P = 1, C = 0 P = 0, C = 0 Qn+1 = 1, sortie force 1. Qn+1 = 0, sortie force 0. Combinaison inutilise.

1 0

t t t t t

S C P Q

1 0 1 0 1 0 1 0

Electronique Numerique 2012-2013 p. 14/1

IV - Logique squentielle
IV.C.3.b - compteurs modulo < 2n

Electronique Numerique 2012-2013 p. 15/1

IV - Logique squentielle
IV.C.3.b - compteurs modulo < 2n ` Position du probleme : On souhaite obtenir un compteur dont le modulo N est diffrent dune puissance de 2. On utilise des bascules JK rinitialisables (possdant une entre "Clear") Lentre "Clear" peut tre active sur 0 ou 1. On dnit une fonction f tel que : f = 1 ("Clear" inactif) lorsque la combinaison est < N f = 0 ("Clear" actif) lorsque la combinaison est gale N

Electronique Numerique 2012-2013 p. 15/1

IV - Logique squentielle
IV.C.3.b - compteurs modulo < 2n ` Position du probleme : On souhaite obtenir un compteur dont le modulo N est diffrent dune puissance de 2. On utilise des bascules JK rinitialisables (possdant une entre "Clear") Lentre "Clear" peut tre active sur 0 ou 1. On dnit une fonction f tel que : f = 1 ("Clear" inactif) lorsque la combinaison est < N f = 0 ("Clear" actif) lorsque la combinaison est gale N
(clear actif sur 0)

J K J K C C

Q Q Q Q

(clear actif sur 1)

Electronique Numerique 2012-2013 p. 15/1

IV - Logique squentielle
IV.C.3.b - compteurs modulo < 2n ` Position du probleme : On souhaite obtenir un compteur dont le modulo N est diffrent dune puissance de 2. On utilise des bascules JK rinitialisables (possdant une entre "Clear") Lentre "Clear" peut tre active sur 0 ou 1. On dnit une fonction f tel que : f = 1 ("Clear" inactif) lorsque la combinaison est < N f = 0 ("Clear" actif) lorsque la combinaison est gale N
Exemple modulo 5 :
(clear actif sur 0)

J K J K C C

Q Q Q Q

(clear actif sur 1)

(tableau de Karnaugh f ) 00 0 0 01 0 1 11 0 X 10 0 X
J H K C Qa J K C Qb J K C Qc

PP PPQb Qa PP Qc P
0 1

f = Qc .Qa Remarque : Les combinaisons Qc = 1 , Qb = 1 , Qa = 0 et Qc = 1 , Qb = 1 , Qa = 1 ne sont pas utilises. On considre par commodit f = 0 pour (1, 1, 0) et f = 1 pour (1, 1, 1).

Electronique Numerique 2012-2013 p. 15/1

IV - Logique squentielle IV.D - Registres

Electronique Numerique 2012-2013 p. 16/1

IV - Logique squentielle IV.D - Registres


Les registres sont des circuits squentiels n entres de donnes et n sorties. Ils possdent galement une entre dhorloge et une entre de commande Load. Lorsque lentre Load est active, les entres sont recopies sur les sorties sur un front dhorloge.

Electronique Numerique 2012-2013 p. 16/1

IV - Logique squentielle IV.D - Registres


Les registres sont des circuits squentiels n entres de donnes et n sorties. Ils possdent galement une entre dhorloge et une entre de commande Load. Lorsque lentre Load est active, les entres sont recopies sur les sorties sur un front dhorloge.

IV.D.1 - Registre chargement parallle

Electronique Numerique 2012-2013 p. 16/1

IV - Logique squentielle IV.D - Registres


Les registres sont des circuits squentiels n entres de donnes et n sorties. Ils possdent galement une entre dhorloge et une entre de commande Load. Lorsque lentre Load est active, les entres sont recopies sur les sorties sur un front dhorloge.

IV.D.1 - Registre chargement parallle


Realisation avec des bascules D (sans Load) :
D2 H Q2 D1 Q1 D0 Q0

Permet la mmorisation (stockage) dun nombre binaire. Lincorporation de la fonction Load ncessiterait un cblage spcique.

Electronique Numerique 2012-2013 p. 16/1

IV - Logique squentielle IV.D - Registres


Les registres sont des circuits squentiels n entres de donnes et n sorties. Ils possdent galement une entre dhorloge et une entre de commande Load. Lorsque lentre Load est active, les entres sont recopies sur les sorties sur un front dhorloge.

IV.D.1 - Registre chargement parallle


Realisation avec des bascules D (sans Load) :
D2 H Q2 D1 Q1 D0 Q0
H
1 0

D0 1 D1 1 D2 1
0 0 0

t t t t t t t

Permet la mmorisation (stockage) dun nombre binaire. Lincorporation de la fonction Load ncessiterait un cblage spcique.

Q0 1 Q1 1 Q2 1
0 0 0

Electronique Numerique 2012-2013 p. 16/1

IV - Logique squentielle
IV.D.2 - Registre dcalage

Electronique Numerique 2012-2013 p. 17/1

IV - Logique squentielle
IV.D.2 - Registre dcalage
D0 H Q0 D1 Q1 D2 Q2 D3 Q3

Electronique Numerique 2012-2013 p. 17/1

IV - Logique squentielle
IV.D.2 - Registre dcalage
D0 H H
1 0

Q0

D1

Q1

D2

Q2

D3

Q3

D0 1 Q0 1 Q1 1 Q2 1 Q3 1
0 0 0 0 0

t t t t t t

Electronique Numerique 2012-2013 p. 17/1

IV - Logique squentielle
IV.D.2 - Registre dcalage
D0 H H
1 0

Q0

D1

Q1

D2

Q2

D3

Q3

D0 1 Q0 1 Q1 1 Q2 1 Q3 1
0 0 0 0 0

t t t t t t

Le dcalage se fait vers la droite (Di = Qi1 ). Le dcalage peut galement se faire vers la gauche. Il est possible de combiner le chargement parallle et le dcalage. Application : multiplieur

Electronique Numerique 2012-2013 p. 17/1

IV - Logique squentielle
IV.D.2 - Registre dcalage
D0 H H
1 0

Q0

D1

Q1

D2

Q2

D3

Q3

D0 1 Q0 1 Q1 1 Q2 1 Q3 1
0 0 0 0 0

t t t t t t

Le dcalage se fait vers la droite (Di = Qi1 ). Le dcalage peut galement se faire vers la gauche. Il est possible de combiner le chargement parallle et le dcalage. Application : multiplieur

` Decalage a gauche :
D0 H Q0 D1 Q1 D2 Q2 D3 Q3

Electronique Numerique 2012-2013 p. 17/1

IV - Logique squentielle IV.E - Remarques nales

Electronique Numerique 2012-2013 p. 18/1

IV - Logique squentielle IV.E - Remarques nales


Les bascules tudies ici sont des bascules dites bistables ou de type ip-op : les deux niveaux de sorties sont stables et exclusivement fonctions : De lordre de basculement des entres de commande (R, S, J, K, D, T...). De lhorloge (H) et du basculement des entres directes (C, P). Les temps de propagation doivent tre tudis avec attention pour la ralisation des circuits logique squentielle, qui combinent les modules de base tels que compteurs, registres. Le chronogramme est un outil essentiel pour la conception des circuits. Le mode synchrone est recommand pour les circuits complexes et/ou rapides. Le temps de propagation dpend de la technologie utilise. Ex: technologie CMOS (base sur transistors effet de champ ou FET) moins rapide que la technologie TTL (sur les transistors bipolaires). Les bascules dites astables et monostables possdent un voir deux niveaux qui ne peu(ven)t tre occup(s) que pendant un temps limit. Ces bascules rentrent en compte dans la ralisation des horloges numriques.

Electronique Numerique 2012-2013 p. 18/1

Vous aimerez peut-être aussi