Vous êtes sur la page 1sur 24

Examen National du Brevet de Technicien Supérieur Page

Session 2020
- Sujet -
1
Centre National de l'Évaluation et des Examens
24
Filière : ELECTROTECHNIQUE Durée : 4h
Épreuve : Association convertisseur machine et régulation Coefficient : 30

Etude d’une éolienne à base


de la MADA

Recommandations générales

Vérifier que le sujet comporte :

- 1 page de garde
- 3 pages de présentation
- 19 pages de document-réponses : Pages n° 5 à n° 23 (A rendre)
- 1 page annexe : Page n° 24
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
2
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Présentation générale
La mise en œuvre de la stratégie 2010-2030 des énergies renouvelables au
Maroc se poursuit pour atteindre un objectif de 42% dans le mix électrique à
l’horizon 2020 et au moins 52% à l’horizon 2030. Selon les statistiques de la fin de
l’année 2019, la puissance installée s’élève à 3.685 MW, dont 700 MW pour le
solaire, 1.215 MW pour l’éolien et 1.770 MW pour l’hydroélectrique. La figure 1,
montre l’évolution de la puissance éolienne installée au Maroc pendant les deux
dernières décennies :

Fig. 1 : Evolution de la puissance éolienne installée au Maroc1

Pour atteindre les objectifs cités ci-dessus, le Maroc a installé et prévoit la mise en
place de plusieurs parcs éoliens à l’horizon 2030. Le tableau suivant montre la
puissance installée par région jusqu’au juin 2020.

Nom Puissance nominale totale (kW) Nombre de machines


Casablanca-Settat 35 250 13
Drâa-Tafilalet 210 000 50
Laâyoune-Sakia El Hamra 588 670 265
Marrakech-Safi 60 350 71
Tanger-Tétouan-Al Hoceïma 396 950 341
Puissance totale installée 1291220 ----

Fig. 2 : Parcs éoliens installés au Maroc jusqu’au juin 20202

1
https://www.thewindpower.net/country_fr_28_maroc.php
2
https://www.thewindpower.net/country_zones_fr_28_maroc.php
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
3
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Nous nous intéressons dans cette épreuve à l’étude de l’éolienne G80-2.0 MW,
utilisée dans l’un des parcs installés dans la zone Tanger Tétouan-Al Hoceima. Il
s’agit du parc conçu par LAFARGE pour satisfaire les besoins énergétiques de son
usine situé à la province de Tétouan. Cette éolienne utilise la machine asynchrone à
double alimentation (MADA) et fonctionne à pales orientables. Sa fiche technique
est donnée à l’annexe n° 1.

La figure 3 montre la chaine de conversion éolien /électrique qui est constituée de :


 Une turbine qui convertie l’énergie cinétique du vent en énergie mécanique
(rotation) exploitée pour entrainer le rotor de la génératrice ;
 Un multiplicateur de vitesse qui permet d’adapter la vitesse de rotation de
l’arbre lente (liée à la turbine) avec celle de la machine dite rapide ;
 Une génératrice asynchrone à double alimentation (MADA) qui assure la
conversion de l’énergie mécanique reçu de la turbine en énergie électrique ;
 Deux convertisseurs AC-DC et DC-AC qui permettent de contrôler le transit
de l’énergie entre l’éolienne et le réseau électrique tout en assurant le réglage
de puissances active et réactive échangées.

PM

Fig. 3 : Schéma de principe de la chaine de conversion


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
4
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

On distingue deux modes de fonctionnement de la MADA :


 Mode hypersynchrone : le rotor et le stator de la MADA débitent sur le
réseau électrique. La vitesse de rotation de la machine est alors supérieure à
la vitesse de synchronisme (𝒈 < 𝟎) ;
 Mode hyposynchrone : seul le stator de la MADA débite de l’énergie sur
le réseau électrique, tandis que le rotor en reçoit. La vitesse de rotation de la
machine est alors inférieure à la vitesse de synchronisme (𝒈 > 𝟎).

Le sujet est composé de trois parties indépendantes A, B et C:

 La partie A est réservée à l’étude du transformateur triphasé et à la MADA ;


 La partie B est consacrée à l’étude des convertisseurs 1 et 2 ;
 La partie C traite la régulation de la vitesse de l’éolienne.

Barème de notation

Partie A : Etude des machines électriques …………………………….………..40 pts


A1 : Etude du transformateur triphasé…………………………….…..10 pts
A2 : Etude de la MADA………………………………………………….30 pts

Partie B : Etude des convertisseurs de puissance ………..…………………..40 pts


B1 : Etude du convertisseur AC-DC triphasé………………………..10 pts
B2 : Etude du convertisseur DC-AC triphasé………………………..30 pts

Partie C : Régulation de la vitesse de rotation de l’éolienne ……………..20 pts


Total :…………100 pts
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
5
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Partie A : Eude des machines électriques

A1 : Etude du transformateur triphasé (…/10 pts)

Dans cette partie, on se propose d’étudier le transformateur triphasé qui permet d’assurer la liaison
de l’éolienne au réseau électrique. La plaque signalétique de ce transformateur est illustrée dans le
tableau de la figure A1.1

Tension composé primaire (côté machine) 690 V Tension secondaire à vide 20,5KV
Tension composé secondaire (côté réseau) 20KV Fréquence du réseau électrique 50Hz
Puissance apparente nominale 2100 kVA Couplage Dyn11
Pertes fer 2,3 KW Pertes cuivre nominales 26 kW
Tension de court-circuit relative UCC = 6% Courant à vide relatif I10 = 3%

Fig. A1.1 : Plaque signalétique du transformateur triphasé

Calculer le courant primaire nominal 𝑰𝟏𝒏 (côté machine) et le courant secondaire 𝑰𝟐𝒏
(côté réseau)
A1.1 /2
……………………………………………………………………………………….....

……………………………………………………………………………………….....

Pour un fonctionnement nominal avec un facteur de puissance 𝒄𝒐𝒔𝝋𝟐 = 𝟎, 𝟖𝑨𝑹


Calculer la puissance active P2 délivrée par le transformateur vers le réseau

……………………………………………………………………………………….....

A1.2 Calculer la puissance active P1 absorbée par le transformateur


/3
……………………………………………………………………………………….....

En déduire le rendement 𝜼 du transformateur

……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
6
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Le schéma monophasé équivalent ramené au secondaire du transformateur est illustré sur la


figure A1.2.

Fig. A1.2 : Schéma monophasé équivalent ramené au secondaire du transformateur

En régime nominal les pertes fer 𝒑𝒇 et les pertes joules 𝒑𝑱 sont indiquées sur la plaque
signalétique. Calculer la valeur des résistances 𝑹𝑺 et 𝑹𝒇 .

A1.3 On donne : I2n = 61A (Côté réseau). /2


……………………………………………………………………………………….....

……………………………………………………………………………………….....

Calculer le rapport de transformation m.

……………………………………………………………………………………….....

Déterminer la chute de tension au point nominal.


……………………………………………………………………………………….....
A1.4 /3
En utilisant la formule simplifiée de la chute de tension au point nominal, calculer la
valeur de la réactance 𝑿𝑺 ramenée au secondaire du transformateur.
On donne : 𝑹𝑺 = 𝟐, 𝟑𝟑Ω 𝒄𝒐𝒔𝝋𝟐 = 𝟎, 𝟖𝑨𝑹 I2n=61A

……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
7
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

A2 : Etude de la MADA (…. /30 pts)


La génératrice utilisée dans l’éolienne G80 - 2.0 MW est une machine asynchrone à double
alimentation (MADA). Ses caractéristiques électriques sont listées ci-dessous :

 Tension statorique et rotorique simples : 𝑽𝑺 = 𝑽𝒓 = 𝟒𝟎𝟎𝑽 ;


 Fréquence d’alimentation : 50Hz ;
 Vitesse de rotation nominale : 1680 tr/min ;
 Machine tétrapolaire : p = 2 ;
 Couplage des enroulements statoriques en étoile 𝒀;
 Puissance électrique nominale produite par la génératrice : 𝑷𝒖𝒏 = 𝟐 𝑴𝑾 ;
 Facteur de puissance nominale : 𝒄𝒐𝒔𝝋𝒏 = 𝟎, 𝟗𝟓.

Pour des raisons de simplification, les pertes fer et les pertes mécaniques de la machine sont
supposées négligeables.

A2.I. Fonctionnement en simple alimentation

En fonctionnement simple alimentation, le rotor bobiné de la MADA est court-circuité. Seul


le stator de la génératrice est connecté au réseau électrique. Dans cette situation, la machine
fonctionne en mode hypersynchrone (g < 0). Son schéma électrique équivalent par
enroulement est illustré sur la figure A2.1.

Fig. A2.1 : Schéma électrique équivalent d’une phase en convention génératrice (g < 0)

Avec :

 Rs = 3,1mΩ : Résistance d’un enroulement statorique ;


 Xµ = 1Ω : Inductance magnétisante à 50Hz ;
 Rr = 3mΩ : Résistance d’un enroulement rotorique ramené au stator
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
8
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

A2.I.1. Fonctionnement à vitesse de synchronisme (n = ns)

Que vaut le glissement dans cette condition ?

……………………………………………………………………………………….....
A2.1. /2
En déduire alors la valeur du courant rotorique I’r.

……………………………………………………………………………………….....

Que devient alors le schéma électrique de la figure A2.1 ?

A2.2. /1

Calculer la valeur efficace du courant statorique 𝑰𝑺𝒐


A2.3. /2
……………………………………………………………………………………….....

Exprimer 𝑽𝑺 en fonction de RS, Xµ et 𝑰𝒐 .


A2.4. /2
……………………………………………………………………………………….....

Quelle relation relie 𝑰𝑺𝒐 à 𝑰𝒐 ?

………………………………………………………………………………………....
A2.5. /1
En déduire la valeur efficace de 𝑰𝒐 .

……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
9
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

En prenant comme origine des phases le courant magnétisant Io, tracer le diagramme de
Fresnel de l’équation de 𝑽𝑺 déterminée à la question A2.4 (Sans tenir compte de l’échelle).

𝐼𝑜
A2.6. /2

Représenter sur le diagramme ci-dessus :

- le courant statorique 𝑰𝑺𝒐 ;

- l’angle de déphasage entre 𝑰𝒐 et 𝑽𝑺 noté : 𝜽 = (𝑰⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗


𝒐 , 𝑽𝑺 ) ;

- l’angle de déphasage entre 𝑰𝑺𝒐 et 𝑽𝑺 noté : 𝝋𝒐 = (𝑰⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗⃗


𝒔𝒐 , 𝑽𝑺 ) ;

Quelle relation relie 𝝋𝒐 à 𝜽 ?


……………………………………………………………………………………….....
A2.7. /2
En déduire la valeur du 𝒄𝒐𝒔𝝋𝒐 (On rappelle que 𝑐𝑜𝑠(𝜋 + 𝑥) = −𝑐𝑜𝑠𝑥 ).
……………………………………………………………………………………….....

A2.I.2. Fonctionnement à vitesse nominale ( 𝑛 = 1680 𝑡𝑟⁄𝑚𝑖𝑛 > 𝑛𝑆 )

Calculer la valeur efficace du courant statorique nominal 𝑰𝒔𝒏


A2.8. /2
……………………………………………………………………………………….....

Calculer la valeur de la vitesse de synchronisme 𝒏𝑺 en tr/min


A2.9. /2
……………………………………………………………………………………….....

En déduire la valeur du glissement nominal g en %


A2.10. /2
……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
10
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Le bilan graphique de transfert de puissances d’une génératrice asynchrone est illustré sur la
figure A2.2.

𝒑𝒎𝒆𝒄 𝒑𝒇
𝑷𝑴 = 𝑪𝒂 𝛀 𝑷𝒕𝒓 = 𝑪𝒆𝒎 𝛀𝒔 𝑷𝒔𝒕𝒂𝒕𝒐𝒓

𝒑𝒋𝒓 𝒑𝒋𝒔

Fig. A2.2 : Bilan de transfert de puissances d’une génératrice asynchrone (g < 0)

Avec : P𝑴 et Ca sont respectivement la puissance mécanique et le couple appliqué à l’arbre


de la génératrice par la rotation des pâles de l’éolienne.

On rappelle que les pertes fer et les pertes mécaniques de la génératrice sont supposées
négligeables. Donner alors la valeur du couple de pertes 𝑪𝒑 .
……………………………………………………………………………………….....
A2.11.
/1
En déduire la relation qui relie 𝑪𝒂 à 𝑪𝒆𝒎
……………………………………………………………………………………….....

Montrer que les pertes joules rotoriques s’écrivent : 𝒑𝒋𝒓 = −𝒈𝑷𝒕𝒓


……………………………………………………………………………………….....
A2.12. /2
……………………………………………………………………………………….....

……………………………………………………………………………………….....

En plus des pertes mécaniques 𝒑𝒎𝒆𝒄 et des pertes fer 𝒑𝒇 , les pertes joules statoriques 𝒑𝒋𝒔
sont aussi supposées négligeables.
𝟏
Montrer alors que la puissance utile 𝑷𝒔𝒕𝒂𝒕𝒐𝒓 s’écrit : 𝑷𝒔𝒕𝒂𝒕𝒐𝒓 = 𝑷𝑴
𝟏−𝒈
A2.13. ………………………………………………………………………………………..... /2

……………………………………………………………………………………….....

……………………………………………………………………………………….....

En déduire alors une expression des pertes joules rotors 𝒑𝒋𝒓 en fonction de 𝑷𝑴 et g
A2.14. /2
……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
11
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

A2.II. Fonctionnement en double alimentation

En plus du stator, le rotor de la génératrice est aussi connecté au réseau électrique à travers
un double-convertisseur de puissance réversible AC-DC-AC comme illustré sur le schéma
de principe de la figure 3 de la page 3. Dans cette situation, la puissance électrique au niveau
du rotor correspond non seulement aux pertes joules rotoriques 𝒑𝒋𝒓 du bilan précédent mais
aussi à la puissance active échangée entre le rotor et le réseau électrique à travers le
convertisseur AC-DC-AC. Cette puissance sera notée 𝑷𝒓𝒐𝒕𝒐𝒓 .

D’après la fiche technique donnée à l’annexe n°1, la vitesse de rotation n de la MADA varie
entre nmin = 900 tr/min et nmax = 1900 tr/min. Pour chacune de ces deux valeurs extrêmes de
la vitesse, calculer la valeur du glissement g et indiquer le mode de fonctionnement
A2.15. correspondant (hyposynchrone ou hypersynchrone). /3

𝒈𝟏 = ⋯ … … … … … … … … … … … … … . … …  Mode …………………….

𝒈𝟐 = ⋯ … … … … … … … … … … … … … … ….  Mode ……………………..

Sur la figure A2.3 et selon le mode de fonctionnement de la MADA, indiquer par une flèche
le sens de transfert des puissances 𝑷𝒓𝒐𝒕𝒐𝒓 , 𝑷𝒔𝒕𝒂𝒕𝒐𝒓 et 𝑷𝑴 (Consulter la description des modes
de fonctions de la MADA dans la partie présentation générale).

PM

A2.16. /2

PM

Fig. A2.3 : Modes de fonctionnement de la MADA


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
12
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Partie B : Etude des convertisseurs de puissance


On rappelle que la liaison entre le rotor de la machine asynchrone et le réseau électrique est
assurée par deux convertisseurs de puissance de type AC-DC et DC-AC comme illustré sur la
figure 3 de la page 3.
Dans cette partie, on considérera le fonctionnement en mode hyposynchrone. Dans ce cas, le
convertisseur 1 fonctionnera comme onduleur, alors que le convertisseur 2 fonctionnera en
redresseur.

B1 : Etude du convertisseur triphasé AC-DC (…. /10pts)


Pour des raisons de simplification le convertisseur 2 (AC-DC) est considéré comme un
redresseur PD3 à diodes. Sa fonction est d’assurer l’obtention d’une tension continue à partir du
réseau électrique. Son schéma de principe est illustré sur la figure B1.1.

Fig. B1.1 : Schéma du redresseur PD3 à diode

Le redresseur PD3 à diodes est alimenté par le réseau électrique avec un système de tension
triphasé équilibré : 400/690V-50Hz. Les 6 diodes sont supposées parfaites. Les chronogrammes
des 3 tensions simples sont donnés sur le document-réponse B1 de la page 14. On suppose que
le courant de sortie est constant 𝑰𝟎 = 𝟐𝟎𝟎 𝑨 .
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
13
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Sur le document-réponse B1, indiquer les intervalles de conduction de chacune des


B1.1 /1
six diodes.

Tracer sur le document-réponse B1, les allures de :


- la tension de sortie 𝐔𝐜 (𝒕) ;
- la tension 𝒗𝒅𝟏 (𝒕) aux bornes de la diode D1 ;
B1.2 - le courant 𝒊𝒅𝟏 (𝒕) circulant dans la diode D1 ; /5

- le courant 𝒊𝒅𝟒 (𝒕) circulant dans la diode D4 ;


- le courant de ligne 𝒊𝑳𝟏 (𝒕).

La valeur moyenne de la tension 𝐔𝐜 (𝒕) a pour expression :


𝟑√𝟔𝑽
𝐔𝐜𝐦𝐨𝐲 =
B1.3 𝝅 /1
Calculer sa valeur numérique.

……………………………………………………………………………………….....

Calculer la valeur moyenne 𝑰𝑫𝟎 du courant 𝒊𝑫𝟏 (𝒕) circulant dans la diode D1.
……………………………………………………………………………………….....
/2
B1.4
……………………………………………………………………………………….....

Déterminer la tension inverse maximale 𝑽𝑹𝑹𝑴 aux bornes de la diode D1.


B1.5 /1
……………………………………………………………………………………….....
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
14
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Document-réponse B1

𝑻 𝟓𝑻
𝟏𝟐 𝟏𝟐
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
15
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

B2 : Etude du convertisseur triphasé DC-AC (…. /30 pts)


Le convertisseur DC-AC est un onduleur de tension triphasé alimenté par le bus continu de
tension Uc = 2Vo. Le rotor de la génératrice asynchrone est modélisé par trois sources de
courants sinusoïdaux déphasés de 120° les unes par rapport aux autres comme le montre la
figure B2.1. Cet onduleur est composé de six interrupteurs de puissances supposés parfaits.

𝒊𝒓𝟏 (𝑡) = 𝐼𝑟 √2 sin(𝜔𝑡 − 𝜑𝑟 )


𝒊𝒓𝟐 (𝑡) = 𝐼𝑟 √2 sin(𝜔𝑡 − 2𝜋/3 − 𝜑𝑟 )
𝒊𝒓𝟑 (𝑡) = 𝐼𝑟 √2 sin(𝜔𝑡 − 4𝜋/3 − 𝜑𝑟 )

Fig. B2.1 : Structure de l’onduleur de tension triphasé

Avec :
𝑰𝒓 désigne la valeur efficace du courant rotorique et 𝝋𝒓 son déphasage par rapport au
fondamental de la tension aux bornes des enroulements rotoriques.
Le point milieu capacitif O et fictif.

Dans un premier temps, nous considérons une commande pleine onde

B2.1 Compléter les intervalles de conduction des 6 interrupteurs sur le document-réponse B2. /2

B2.2 Tracer les formes d'ondes des tensions 𝑽𝒂𝒐 , 𝑽𝒃𝒐 et 𝑽𝒄𝒐 sur le document-réponse B2. /3

Exprimer 𝑽𝒓𝒂𝒏 en fonction de 𝑽𝒂𝒐 et 𝑽𝒏𝒐 .


B2.3 /2
………………………………………………………………………………………..............
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
16
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Sachant que 𝑽𝒓𝒂𝒏 + 𝑽𝒓𝒃𝒏 + 𝑽𝒓𝒄𝒏 = 0.


Exprimer 𝑽𝒏𝒐 en fonction de 𝑽𝒂𝒐 , 𝑽𝒃𝒐 et 𝑽𝒄𝒐 .

………………………………………………………………………………………..............
………………………………………………………………………………………..............

B2.4 ……………………………………………………………………………………….............. /3
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

Tracer sa forme d’onde sur le document-réponse B2.

En déduire l’expression des tensions 𝑽𝒓𝒂𝒏 , 𝑽𝒓𝒃𝒏 et 𝑽𝒓𝒄𝒏 en fonction de 𝑽𝒂𝒐 , 𝑽𝒃𝒐 et 𝑽𝒄𝒐 .

………………………………………………………………………………………..............
………………………………………………………………………………………..............

B2.5 ……………………………………………………………………………………….............. /4
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
Tracer la forme d’onde de la tension 𝑽𝒓𝒂𝒏 sur le document-réponse B2.

Déterminer l’expression de la valeur efficace de la tension 𝑽𝒓𝒂𝒏 en fonction de Vo.

………………………………………………………………………………………..............
………………………………………………………………………………………..............
B2.6 ……………………………………………………………………………………….............. /3

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

B2.7 Pour 𝛗𝐫 = 60°, tracer sur le document-réponse B2 les trois courants 𝒊𝒓𝟏 , 𝒊𝒓𝟐 et 𝒊𝒓𝟑 . /3

Sur le document-réponse B2, donner les expressions du courant 𝒊𝟎 (𝒕) circulant à l’entrée
de l’onduleur en fonction de 𝒊𝒓𝟏 , 𝒊𝒓𝟐 et 𝒊𝒓𝟑 pendant chaque phase du fonctionnement de /2
B2.8
l'onduleur et tracer sa forme d'onde.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
17
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

En pratique, c’est la commande MLI (Modulation de Largeur d’Impulsions) qui est utilisée.
Dans cette condition la tension 𝑽𝒂𝒐 a la forme représentée sur la figure B2.2.

Fig. B2.2 : la forme de la tension 𝑽𝒂𝒐

Notation : <x> désigne la valeur moyenne de la grandeur temporelle x sur une période TMLI.

Montrer que la valeur moyenne de la tension 𝑽𝒂𝒐 s’écrit sous la forme suivante :

<𝑽𝒂𝒐 > = (2α– 1) Vo

………………………………………………………………………………………..............
B2.9
……………………………………………………………………………………….............. /2

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

En utilisant le résultat de la question B2.3 et sachant que <𝑽𝒏𝒐 >= 0, donner la relation entre
< 𝑽𝒓𝒂𝒏 > et <𝑽𝒂𝒐 >.
B2.10
/2
………………………………………………………………………………………..............

………………………………………………………………………………………..............
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
18
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Pour obtenir une tension rotorique 𝑽𝒓𝒂𝒏 de forme sinusoïdale, on fait varier le rapport
cycliqueαsinusoïdalement selon l’expression suivante :
𝟏 𝑽𝒓
𝜶(𝒕) = + 𝒔𝒊𝒏 (𝝎𝒕)
𝟐 √𝟐 𝑽𝒐
Déduire alors l’expression de 𝑽𝒓𝒂𝒏 (𝒕).
B2.11
……………………………………………………………………………………….............. /2
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

………………………………………………………………………………………..............

Pour αmax=1, calculer la valeur de Vo.


On donne 𝑽𝒓 = 400V (Valeur efficace de la tension rotorique simple 𝑽𝒓𝒂𝒏 ).

………………………………………………………………………………………..............
B2.12 ……………………………………………………………………………………….............. /2
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
19
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Document-réponse B2
Ka T/2 K’a T
Ka, K’a
Kb, K’b
Kc, K’c
𝑽𝒂𝒐 (t)
2V0
V0

𝑽𝒃𝒐 (t)

𝑽𝒄𝒐 (t)

𝑽𝒏𝒐 (t)

𝟏
V0
𝟑

𝑽𝒓𝒂𝒏 (t)
𝟒
V0
𝟑

𝒊𝒓𝟏 (t), 𝒊𝒓𝟐 (t), 𝒊𝒓𝟑 (t)

𝒊𝟎 (𝒕)

𝒊𝟎 (𝒕) =
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
20
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Partie C : Asservissement de vitesse de l’éolienne (… /20 pts)


Dans le cas où la vitesse du vent est inférieure à la vitesse nominale, on cherche à extraire le
maximum de puissance mécanique délivrée par la turbine, c’est dans cette finalité que l’on utilise
la commande appelée MPPT (Maximum Power Point Tracker).
On distingue deux formes de commande MPPT :
 MPPT sans asservissement de vitesse de rotation de la turbine ;
 MPPT avec asservissement de vitesse de rotation de la turbine.

C’est cette deuxième forme qui sera traitée dans cette partie. Son principe consiste à faire
varier la vitesse de rotation de la turbine selon les variations de la vitesse du vent, à fin de garantir
que la puissance extraite soit toujours maximale. La figure C.1 représente le schéma de principe
de la boucle de régulation.

Correcteur PI 𝐶𝑎 Modèle de l’arbre


Ω𝑟𝑒𝑓 + −
𝐾𝑖 𝐶𝑒𝑚_𝑟𝑒𝑓 1 Ω𝑚𝑒𝑐
𝐾𝑝 +
𝑝 + 𝐽𝑡 . 𝑝 + 𝑓
Ω𝑚𝑒𝑐 −

Fig. C.1 : Boucle de régulation de la vitesse de la turbine


Avec :

 Jt est le moment d’inertie équivalent ramené à l’arbre de la génératrice ;


 𝒇 coefficient des frottements ;
 Kp et Ki sont respectivement le gain de l’action proportionnelle et le gain d’intégration
du correcteur PI ;
 Cem_ref est le couple électromagnétique à imposer par la MADA ;
 𝛀𝒎𝒆𝒄 la vitesse de rotation de la MADA ;
 Ca le couple développé par la turbine. Pour simplifier l’étude, on suppose que ce
couple Ca est nul (Ca = 0).

On donne : 𝑱𝒕 = 256 𝐾𝑔. 𝑚2 et 𝒇 = 12,5 Nm/rad. s−1


Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
21
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Ω𝑚𝑒𝑐
Déterminer l’expression de la fonction de transfert en boucle fermée 𝐹𝑇𝐵𝐹 = Ω𝑟𝑒𝑓

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
C.1 ……………………………………………………………………………………….............. /2

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

Mettre cette fonction de transfert sous la forme suivante :


𝜶 + 𝜷. 𝒑
𝑭𝑻𝑩𝑭 = 𝟏 𝟐𝝃
. 𝒑𝟐 + ( 𝒘 ) . 𝒑 + 𝟏
𝒘𝟐𝒏 𝒏

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
C.2 ……………………………………………………………………………………….............. /4

En déduire l’expression de , 𝒘𝑛 et 𝝃 en fonction des paramètres du système.

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
……………………………………………………………………………………….............
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
22
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

On rappelle que le temps de réponse pour un système d’ordre 2 est donné par :
𝟑
𝒕𝒓 𝟓% =
𝝃. 𝒘𝒏

Pour 𝝃 = 𝟎. 𝟕𝟎𝟕 et 𝒕𝒓 𝟓% = 𝟏𝟎𝒔, exprimer puis calculer la valeur de la constante


d’intégration 𝑲𝒊 .

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

………………………………………………………………………………………..............
………………………………………………………………………………………..............
C.3 ……………………………………………………………………………………….............. /4

………………………………………………………………………………………..............
………………………………………………………………………………………..............

En déduire l’expression du gain 𝑲𝒑 en fonction de 𝑲𝒊 , 𝝃, 𝑱𝒕 et 𝒇. Faire l’application


numérique.

………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
23
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

On se propose maintenant de remplacer le correcteur analogique par un autre numérique dont la


(𝟏−𝒛−𝟏 )
forme est déduite de l’approximation 𝒑 ↔ .
𝑻𝒆

Te étant la période d’échantillonnage. On donne Te = 𝟐𝒔

Déterminer l’expression du correcteur C(z) ainsi obtenu


………………………………………………………………………………………..............
C.4 /2
………………………………………………………………………………………..............
………………………………………………………………………………………..............

Déterminer l’équation de récurrence liant les échantillons d’entrée et ceux de


sortie du correcteur C(z)
………………………………………………………………………………………..............
………………………………………………………………………………………..............
C.5 /4
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............
………………………………………………………………………………………..............

Pour tester le bon fonctionnement du correcteur, on applique à son entrée un signal


échelon unitaire.
Compléter le tableau suivant, en calculant les cinq premiers échantillons de sortie :

n 0 1 2 3 4

𝜺𝒏 1 1 1 1 1
C.6 /4
𝜺𝒏−𝟏 0 1 1 1 1

𝒖𝒏−𝟏 0

𝒖𝒏
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session 2020 -
24
Filière : ELECTROTECHNIQUE Épreuve : Association convertisseur machine et régulation 24

Annexe n° 1 : Fiche technique de l’éolienne G80 - 2.0MW

Gamesa G80 – 2.0 MW

Diamètre 80 m

Rotor Aire Balayée 5027 m²

Vitesse de rotation 9.0 – 19.0 tr/min

Nombre 3

Longueur 39 m
Pâles
Profil NACA 63 XXX

Matériau Fibre de verre Pré-imprégnée de résine époxy

Type Modulaire
Tour
Hauteur 60, 67, 78 et 100 m

Type 1 étage planétaire / 2 étages planétaires à arbres parallèles


Multiplicateur
Rapport 1:100,5 (50Hz) / 1:120,5 (60Hz)

Type Machine asynchrone à double alimentation

Puissance nominale 2.0 MW

Tension 690 V AC
Génératrice
Fréquence 50 Hz / 60 Hz

Classe de protection IP 54

Facteur de puissance 0,95 CAP - 0,95 IND dans toute la plage de puissance

Vous aimerez peut-être aussi