Vous êtes sur la page 1sur 5

Examen National du Brevet de Technicien Supérieur

Page
Session de Mai 2015
- Sujet - 1
Centre National de l'Évaluation, des Examens et de l’Orientation
5
4
Filière: Systèmes Electroniques SE Durée :
heures
Épreuve de: Electronique : Eléments de corrigé Coefficient : 35

Partie 1 : Acquisition et traitement de la pression.

Etude du transducteur et du conditionneur.


Q.1
1 1
V1  E , V2  E , V e  E
2 2
Q.2
Rg
Ve  V A  V B .
2 Ra  Rg
 2 Ra 
Vs  V A  VB   1  
 Rg 
Q.3
 2 Ra 
VS  1  .k. p.E
 Rg 
Q.4
Rg = 1052Ω
Etude du capteur de pression utilisé.
Q.5
0 à 25bar , 0 à 5V
Q.6
0.5% , tr = 2ms.
Q.7
PTU-S-AG60-22AA-T

Etude du convertisseur analogique numérique.


Q.8
tr= 73ms
Q.9
CAN à approximation successive.
Résolution : 8bits
Q.10
Vref
q , 19.6 mV
2n  1
Q.11
 Vs 
N  entier 
q
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 2
5

Q.12
Pression en entrée Tension en sortie Valeur numérique Valeur numérique
du capteur de du capteur de en sortie du en sortie du
pression pression convertisseur convertisseur
(en Bar) (en V) (en décimal) (en binaire)
0 0 0 00000000
10 2 102 01100110
15 3 153 10011001

Etude du la sérialisation de la donnée (Conception VHDL).


Q.13
Q.14 library ieee;
Q.15 use ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
entity serialisation is
port ( D : std_logic_vector(3 downto 0);
H : in std_logic;
S : out std_logic
);
end serialisation;

architecture arc_ serialisation of serialisation is


begin
process (H)
variable i: integer range 0 to 4 ;
variable s1:std_logic;
begin
if (H 'event and H='1') then -- a) verifier front montant de H
s1:=D(i); -- b) affecter D(i) à s1
if i = 3 then
i:=0;
else
i:=i+1;
end if;
end if;
s<=s1; -- c) affecter s1 à s
end process; -- d)
end arc_ serialisation ; -- e)

Q.16
s1 <=D(i) ; et la déclaration sera faite avant begin de l’architecture
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 3
5

Partie 2 : Réseau MODBUS et bus I2C.


Etude du réseau MODBUS.
Q.17
Un seul maître.
Q.18
32 ou 247 ou 255.
Q.19
La fonction 03

Q.20

Q.20.1.
@slave Code fct @ bit Nbr bit à lire CRC16
04 02 1205 0001 XXXX

Q.20.2.
@slave Code fct Nbr octet valeur CRC16
04 02 01 0N20 XXXX

Q.21
@slave Code fct @ bit Valeur bit CRC16
04 05 1444 FF 00 XXXX

Etude du bus I2C.


Q.22
8 Ko
Q.23
13bits

Q.24
@ = 0x55 = (1010101)2

Q.25
S 1 0 1 0 1 0 1 0 A x x x 0 0 0 0 1 A

0 1 1 0 0 1 0 1 A 0 1 1 0 1 0 0 0 A P
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 4
5

Partie 3 : Programmation du PIC (UART et I2C)


Etude de la communication à travers l’UART.
Q.26

.
BSF STATUS, RP0
BCF STATUS, RP1 ; a) passer à la bank 01.
BCF TRISC, 6
BCF PIE1, TXIF ;b) mettre à 0 le bit TXIF
MOVLW 0XFF ; c) mettre 0xFF dans le registre W
MOVWF TRISB ;d) mettre le contenu de W dans le registre TRISB
MOVLW 0x90 ;e)
MOVWF RCSTA
MOVLW 0X24
MOVWF TXSTA
MOVLW 0X67
MOVWF SPBRG
BCF STATUS, RP0
BSF RCSTA, 7
.

Q.27
le débit 2400bauds
Q.28
0x90
Etude de la communication à travers le bus I²C.
Q.29
SSPADD = 0x09.
Q.30
SSPCON = 0x28.
Q.31
void I2C_Start()
{ SSPCON2.SEN = 1;
while(PIR1.SSPIF==0);
PIR1.SSPIF=0; }
Q.32
short I2C_Send(char donnee)
{ SSPBUF = donnee;
while(PIR1.SSPIF==0);
PIR1.SSPIF=0;
return SSPCON2.ACKSTAT;
}
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session de Mai 2015 - Page
Filière: Systèmes Electroniques SE Épreuve de : Electronique 5
5

Barème de notation:

Q.1 : 1.5 points Q.2 : 1 point Q.3 : 1 point Q.4 : 1 point


Q.5 : 2 points Q.6 : 2 points Q.7 : 2 points Q.8 : 2 points
Q.9 : 2 points Q.10 : 1 point Q.11 : 1 point Q.12 : 1.5 points
Q.13 : 1.5 points Q.14 : 1.5 points Q.15 : 1 point Q.16 : 2 point
Q.17 : 1 point Q.18 : 1 point Q.19 : 2 points Q.20 : 1.5 + 1.5 points
Q.21 : 2 points Q.22 : 2 points Q.23 : 2 points Q.24 : 1 point
Q.25 : 2 points Q.26 : 2 points Q.27 : 1.5 points Q.28 : 1 point
Q.29 : 1.5 points Q.30 : 1 point Q.31 : 1.5 points Q.32 : 1.5 points

Vous aimerez peut-être aussi