Vous êtes sur la page 1sur 181

‫امجلهو ية اجلزائرية ادلميقراطية الشعبية‬

‫و ا ة التعلمي العايل و البحث العلمي‬


‫جامعة وهرا للعلو و التكنولوجيا محمد بوضياف‬

Présenté par : ZEGAI Mohammed Lamine

Intitulé
‘‘Synthèses Des Techniques de Commande DTC Associe à
L’intelligence Artificielle Appliquée au Contrôle D’un Moteur
Asynchrone Alimenté par Onduleur Multi-Niveaux’’
Faculté : Génie Electrique

Département : Electrotechnique

Spécialité : Electrotechnique

Option : Commande Des Machines Electriques

Soutenu le 14/12/2017 devant le jury composé de :

Membres de Jury Grade Qualité Domiciliation


BOURAHLA Mohamed PROFESSEUR Président Université d’USTO - Oran

BENDJEBBAR Mokhtar MC -A Encadreur Université d’USTO - Oran

ZEMELLACHE M Kadda PROFESSEUR Examinateur Université d’USTO - Oran


MEROUFEL Abdelkader PROFESSEUR Examinateur Université Djillali Liabes - S.Bel Abbès
MANSOURI Abdellah PROFESSEUR Examinateur Ecole Nationale Polytechnique - Oran
CHENAFA Mohamed PROFESSEUR Examinateur Ecole Nationale Polytechnique - Oran

Année Universitaire : 2017-2018


Dédicaces

Je remercie ‫ اهلل‬tout puissant, qui m’a donné la force de concevoir ce


travail et que le salut et la bénédiction de dieu soient sur notre
prophète Mohamed.

Un grand merci pour ma chère femme ;


Pour ma grande famille ;
Mes chers parents ;
Mes chers frères, ma chère sœur ;
Mes beaux-parents ;
Mon beau-frère, mes belles sœurs ;
Mes amis, voisins et tous qui m’aiment ;
Je dédie ce modeste travail.

Zegai Mohammed Lamine.


REMERCIEMENTS

Les travaux de recherche présentés dans ce mémoire ont été effectués au sein Laboratoire des
Développements des Entrainements Electriques (LDEE) de l’Université d’USTO-Mohamed
Boudiaf D’Oran.
Je commencerais par exprimer ma profonde gratitude envers mon directeur de thèse Monsieur
BENDJEBBAR Mokhtar , Maître de conférences au département d’El électrotechnique
à l’Université d’USTO, d’avoir proposé le sujet sur lequel j’ai travaillé, et qui a assuré la direction
et l’encadrement du travail présenté dans ce mémoire , pour la confiance qu’il m’a témoignée et
pour tous les conseils, ses encouragements , soutient et surtout sa gentillesse afin de nous permettre
l'accomplissement de ce travail.
J’adresse mes remerciements aux membres du jury d’avoir accepté de juger ce travail :
À monsieur BOURAHLA Mohamed, Professeur à l’Université d’USTO d’Oran, pour l’honneur
qu’il ma fait en acceptant la présidence du jury de ce mémoire.
À monsieur ZEMALACHE MEGUENNI Kadda, Professeur à l’Université des Sciences et de la
Technologie d’Oran pour avoir accepté de juger ce travail. Qu’il trouve ici l’expression de mon
respect et ma profonde reconnaissance.
À monsieur MEROUFEL Abdelkader , Professeur à l’université Djillali Liabes à Sidi-Bel-
Abbés pour l’intérêt qu’il a bien voulu porter à ce travail en acceptant de siéger dans ce jury. Qu’il
trouve ici l’expression de mon respect et ma profonde reconnaissance.
À monsieur MANSOURI Abdellah, Professeur à l’Ecole National Polytechnique d’Oran pour
m’honorer de participer en membre dans ce jury. Qu’il trouve ici l’expression de mon respect et ma
profonde reconnaissance.
À monsieur CHENAFA Mohamed, Professeur à l’Ecole National Polytechnique d’Oran pour
avoir accepté de juger ce travail. Qu’il trouve ici l’expression de mon respect et ma profonde
reconnaissance.
Enfin, je ne pourrais terminer ces remerciements sans une pensée à l’ensemble de mes enseignants
qui sont à l’origine de tous mes savoirs !

ZEGAI Mohammed Lamine.


Résumé ‫الملخص‬ Abstract
Résumé
Le progrès qui était dans le domaine de micro-informatique et dans l’électronique de puissance permet
la naissance de plusieurs stratégies de la commande vectorielle du moteur asynchrone triphasé, parmi
ces stratégies qui ont un succès considérable dans le secteur industriel, la commande directe du couple.

Cette méthode même si elle porte plusieurs avantages par rapport aux autres commandes vectorielles
représentées dans la rapidité dans le temps de réponse et les insensibilités aux variations paramétriques
du moteur asynchrone , mais elle a aussi des inconvénients représentées dans la irrégularité dans
la fréquence de commutation et des ondulations remarquables aux niveaux du flux statorique et dans le
couple électromagnétique.
Pour dominer ces problèmes et améliorer les performances de cette commande, on a fait dans
la première étape des modifications dans l’algorithme de commutation avec les changements
nécessaires dans les autres composants dans le schéma général selon la DTC avec l’onduleur à multi-
niveaux. Ensuite on a proposé de transformer le noyau de l’algorithme obtenu de DTC par l’utilisation
des techniques d’intelligence artificielle représentants respectivement par les réseaux de neurones
artificiels, la logique floue, les systèmes neuro-flous.

Mots clés : Moteur asynchrone, La commande directe du couple, Onduleur à multi-niveaux,


Les réseaux de neurones artificiels, La logique floue, Les systèmes neuro-flous.

‫الملخص‬
‫في االلكتر ني ال درة إلى ظ ر عدة استراتجي في نظ التحك الشع عي‬ ‫أدى التط ر الح صل في المع لج الدقي‬
‫ هي طري‬،‫ من بين هذه االستراتجي التي الق ر اج من طع النظير في الميدان الصن عي‬،‫ل محر الالتزامني ثالثي األط ار‬
.‫التحك المب شر لعز الد ران‬
‫هذه الطري حتى إن ك ن تحمل مزاي كثيرة في م دمت سرعت ال ئ في االستج ب إض ف إلى عد تأثره في التغيرا التي‬
‫ إال إن تتس بعي المتمث في ج د ت ترا االستبدال متغيرة خ ص ج د اهتزازا‬، ‫تطرأ ع ى عن صر المحر من ج‬
.‫ من ج أخرى‬، ‫ع ى مست ى الح ل الك ر مغن طيسي العز الد راني ل محر‬
‫ قمن في مرح أ لي بتعديل جد ل التغيرا الكالسيكي حتى يك ن ب ستط عته‬،‫لدرأ هذا اإلشك ل لتحسين منظ م التحك هذه‬
‫ ث عدلن خ ارزمي التحك المحصل ع ي ب عتم د ت ني الذك ء االصطن عي المتمث‬، ‫التحك في المم ج المتعدد المست ي‬
‫المنط الغ مض الطري ال جين المتمث في الشبك العصبي االصطن عي المستندة‬ ‫الشبك العصبي االصطن عي‬،‫في‬
.‫ب لمنط الغ مض‬
، ‫العصبي االصطن عي‬ ‫ الشبك‬، ‫ المم ج المتعدد المست ي‬،‫ التحك المب شر لعز الد ران‬،‫المحر الالتزامني‬: ‫الكلما المفتاحي‬
.‫ الشبك العصبي االصطن عي المستندة ب لمنط الغ مض‬،‫المنط الغ مض‬
Abstract
The progresses of micro-processing and in the power electronics allow to create many strategies of the
vector control for induction motor of the three-phase, one these strategies which have a great success
in the industrial world, the direct torque control (DTC).

This method has a lot of advantages which the response time is quick and not sensible for all variation
in parameters of the induction motor, but in the same time, it has a many disadvantages which
represented the irregular in frequency of the switches, and a high ripple in the stator flux and in the
torque produced by the asynchronous motor.
For avoid there problems and to improve this strategy of control, we replace in first time the classical
table switching by an other to allow the controlling the multi-levels inverter. Then we propose to
progress the new algorithm by using the artificial intelligence, represented in the artificial neural
networks, the fuzzy logic, and neuro-fuzzy systems.
Keywords : Induction motor, Direct torque control, Multi-levels inverter, Artificial neural networks,
Fuzzy logic, Neuro-fuzzy systems.
Contribution de l’auteur

I. Publications Internationales :
01 M. L. Zegai , M. Bendjebbar, K. Belhadri, F.Lakhdari “Adaptive Neuro-Fuzzy Speed
Regulator Applied in Direct Torque Control for Induction Motor Drive Using
Multilevel Inverter’. International Review of Automatic Control (IREACO),
Vol. 9, N. 4 , ISSN 1974-6059, July 2016, pp.182-191.
02 K.Belhadri, B.Kouadri , M.L. Zegai, "Adaptive Neural Control Algorithm Design for
Attitude Stabilization of Quadrotor UAV". International Review of Automatic
Control (IREACO) , Vol. 9, N. 6 , ISSN 1974-6059, November 2016, pp.390-396.

II. Conférences Internationales :


01 M. L. Zegai , M. Bendjebbar, K. Belhadri, B.Hamane, M.L. Doumbia and P.M
Koumba.” Direct Torque Control of Induction Motor Based On Artificial Neural
Networks with Estimate and Regulation Speed Using the MRAS and Neural PID
Controller”.IEEE Electrical Power and Energy Conference EPEC 2015 , LONDON,
pp. 320-325, 2015.
02 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Artificially Intelligent Based in Neural
Networks And Neuro Fuzzy Algorithms Controllers For Direct Torque Control Of
Induction Motor” .Third International Conference on Power Electronics and
Electrical Drives ICPEED’14, ORAN (Algérie) ,10-11 Déc.2014.
03 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Direct Torque Control Of Induction
Machine Based In Sliding Mode Speed Controller”. Third International Conference on
Power Electronics and Electrical Drives ICPEED’14, ORAN (Algérie) ,10-11
Déc.2014.
04 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Sensorless speed based in simplified
extended Kalman filter estimator applied in DTC for induction motor ‘.2eme Conférence
Internationale sur l'Electronique, l'Electrotechnique et l’Automatique. CIEEA’13,
ORAN (Algérie),22-24 Nov.2013.
05 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Sensorless speed based in MRAS
estimator applied in direct torque control of induction motor”.2eme Conférence
Internationale sur l'Electronique, l'Electrotechnique et l'Automatique . CIEEA’13,
ORAN (Algérie),22-24 Nov.2013.
06 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Direct Torque Control of Induction
Motor Based On Artificial Neural Networks Controller” .Second International
Conference on Power Electronics and Electrical Drives ICPEED’12, ORAN (Algérie)
,11-12 Déc.2012.
07 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Amélioration de la Commande DTC
Appliquée au Moteur d’Induction par des modifications sur les Algorithmes des tables de
commutation” Second International Conference on Power Electronics and Electrical
Drives ICPEED’12, ORAN (Algérie) ,11-12 Déc.2012.
08 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Improve the Direct Torque Control with
Fuzzy Voltage Source Inverter-Fed Applied in Induction Motors”. Conférence
Internationale sur la Maintenance, la Gestion, la Logistique et l’Electrotechnique
CIMGLE’2012, ORAN (Algérie) ,19-21 Nov.2012.
09 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Direct Torque Control of Induction
Motor Based On Neuro-Fuzzy Controller”. Conférence Internationale sur la
Maintenance, la Gestion, la Logistique et l’Electrotechnique CIMGLE’2012, ORAN
(Algérie) ,19-21 Nov.2012.
10 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Tuning of PID Speed Controller in DTC
of Induction Motor Based on PSO Algorithm ”. International Conference on
Electromechanical Engineering ,ICEE ‘2012, SKIKDA (Algérie) ,20-21 Nov.2012.
11 M. L. Zegai , M. Bendjebbar and K. Belhadri.” Direct Torque Control of Induction
Motor Based On Neuro-Fuzzy Controller”. International Conference on
Electromechanical Engineering ,ICEE ‘2012, SKIKDA (Algérie) ,20-21 Nov.2012.
12 M. L. Zegai , M. Bendjebbar and B. Hamane.” Direct Torque Control of Induction Motor
Based On Fuzzy Controller”. Conférence Internationale sur l’Automatique et la
Mécatronique. CIAM’2011, ORAN (Algérie), 22-24 Nov.2011.
PRINCIPALES NOTATIONS
Généralement les notations utilisées en électrotechnique et en automatique sont très
variées. Les principales notations utilisées dans ce mémoire sont rapportées
ci-dessous, d’autres significations se trouvent explicitées dans le texte.

Symbole Signification
Rs Résistance statorique [Ω] .
Rr Résistance rotorique [Ω] .
Ls Inductance statorique [H].
Lr Inductance rotorique [H].
Lm Inductance mutuelle propre [H].
ls Inductance propre d’enroulement statorique [H].
lr Inductance propre d’enroulement rotorique [H].
Ms Inductance mutuelle statorique [H].
Mr Inductance mutuelle rotorique [H].
Msr Inductance mutuelle entre le stator et rotor [H].
Mrs Inductance mutuelle entre le rotor et stator [H].
f Coefficient de frottement [N.s/rad].
J Moment d’inertie [kg.m2].
P Nombre de paire de poles.
d et q Axes direct et quadrature.
α et β Axes alpha et beta.
xd et xq Composantes de la grandeur x dans le repère (d-q).
xα et xβ Composantes de la grandeur x dans le repère (˞-˟).
φ Flux [Wb].
ωréf Vitesse de référence [rad/s].
ωs Pulsation électrique statorique [rad/s].
ωr Pulsation électrique rotorique [rad/s].
Ω Vitesse mécanique [rad/s].
θ La position du flux statorique
θe Angle électrique [Rad].
θs Position angulaire du stator [rad/s].
θr Position angulaire du rotor [rad/s].
Cem Couple électromagnétique [N.m].
Cr Couple résistant [N.m].
e L’écart entre la consigne et la mesure.
V Tension [V].
I Courant [A].
S Opérateur de Laplace.
μ (x) Degré d’appartenance.
Vsa,b,c et Vra,b,c Tensions de phases (stator et rotor) [V].
isa,b,c et ira,b,c Courants statoriques et rotoriques de phases [A].
σ Coefficient de dispersion.
t Temps continu [s].
ABRÉVIATIONS

ANFIS Contrôleur d’inférence neuro-flou adaptatif ;


ANN Artificial neural networks (Réseaux de neurones artificiels) ;
DTC Direct torque control (Commande directe du couple) ;
DTFC Commande floue directe du couple ;
DTNFC Commande neuro-floue directe du couple ;
DTNFC-SVM La commande neuro-floue directe du couple avec la modulation
vectorielle ;
DTNNC Commande neuronale directe du couple ;
FL Logique floue ;
FOC Field oriented control (Flux rotorique orienté);
GTO Gate turn off ;
IGBT Insolated gate bipolar transistor ;
MAS Machine asynchrone ;
MLI Modulation par largeur d’impulsion ;
NF Neuro-flou ;
NPC Neutral point clamped (Convertisseur clampé par le neutre) ;
RLF Régulateur flou ;
RNA Réseaux de neurones artificiels ;
PI Proportionnel-intégrale ;
SVM Space vector modulation (Modulation vectorielle) ;
LISTE DES TABLEAUX

Tableau II.1 Modélisation sous forme d’état d’une machine asynchrone


19
alimentée en tension………………………………………………………..
Tableau II.2 Table de vérité de l’onduleur de tension à deux niveaux ……………. 26
Tableau II.3 Description des séquences de conduction des interrupteurs…………. 29
Tableau III.1 La position du flux statorique dans l’intervalle [0 2π]……………….. 40
Tableau III.2 Table de contrôle de flux………………………………………………….. 42
Tableau III.3 Table de commande du couple…………………………………………… 43
Tableau III.4 Table de localisation selon I.Takahashi pour le réglage du flux
44
et du couple (DTC classique)……………………………………………..
Tableau III.5 Table de commutation sans séquences nulles…………………………… 45
Tableau III.6 Grandeurs électriques correspondantes à chacune des configurations
49
d’un bras K d’onduleurs à trois niveaux à structure NPC……………
Tableau III.7 Table d’excitation des interrupteurs de l’onduleur à trois niveaux
49
à structure NPC……………………………………………………………..
Tableau III.8 Table de commutation de commande DTC appliqué à l’onduleur
55
à 3-niveaux de type NPC…………………………………………………..
Tableau IV.1 Les différentes fonctions d'activations les plus utilisées dans les
69
RNA…………………………………………………………………………...
Tableau IV.2 Les propriétés de régulateur neuronal proposé………………………... 82
Tableau V.1 Exemple d’une matrice d’inférence……………………………………… 94
Tableau V.2 Règles d’inférences floues appliquées sur le régulateur DTC……….. 102
Tableau VI.1 Les avantages des systèmes neuro-flous………………………………... 118
Tableau VI.2 Angle d’incrément du vecteur de tension de référence………………... 128
Tableau VI.3 Calcul des vecteurs de tension dans un onduleur à trois niveaux….... 129
Tableau VI.4 Tableau d'étude comparative entre les méthodes proposées…………. 145
LISTE DES FIGURES
Figure II.1 Moteur asynchrone triphasé……………………………………………… 11
Figure II.2 Représentation schématique d’une machine asynchrone triphasée… 12
Figure II.3 Synoptique de représentation d’état…………………………………….. 18
Figure II.4 Schéma global d'un MAS à vitesse variable avec son alimentation… 20
Figure II.5 Représentation du redresseur triphasé à double alternance à diode. 21
Figure II.6 Représentation de la tension redressée…………………………………. 21
Figure II.7 Représentation de filtre passe-bas……………………………………… 22
Figure II.8 Représentation de la tension filtrée……………………………………… 22
Figure II.9 Représentation d’un onduleur à deux niveaux avec sa charge……… 23
Figure II.10 Principe d’élaboration de la MLI vectorielle………………………….. 26
Figure II.11 Calcule des temps de commutation T1 et T2 du premier secteur……. 27
Principe de la génération de la MLI vectorielle à partir des vecteurs
Figure II.12 28
d’état…………………………………………………………………………
Figure II.13 Organigramme de la MLI vectorielle de l’onduleur à deux niveaux. 29
Figure II.14 La réponse du courant statorique……………………………………... 30
Figure II.15 La réponse du flux rotorique…………………………………………… 30
Figure II.16 La réponse du couple électromagnétique…………………………….. 30
Figure II.17 La réponse de la vitesse de rotation…………………………………….. 30
Figure III.1 Le couple produit en fonction des flux ̅𝜙 et 𝜙̅ ……………………….. 37
Figure III.2 Evolution du vecteur flux statorique dans le plan α,β………………... 37
Pilotage du vecteur flux statorique à l’aide des vecteurs tension
Figure III.3 37
fournis par un onduleur de deux niveaux………………………………..
Evolution du flux et du couple pour les différents vecteurs de
Figure III.4 39
tensions possibles…………………………………………………………..
Contrôleur à hystérésis à deux niveaux et sélection des tensions
Figure III.5 41
correspondant……………………………………………………………….
Contrôle du couple électromagnétique à l'aide d'un comparateur
Figure III.6 43
à hystérésis à trois niveaux……………………………………………….
Schéma synoptique de la commande directe du couple pour un
Figure III.7 46
onduleur à deux niveaux appliquée sur une machine asynchrone…...
Figure III.8 Onduleur à trois niveaux à structure NPC alimenté une MAS………. 47
Figure III.9 Bras de l’onduleur triphasé à trois niveaux…………………………… 48
Figure III.10 Différentes configurations d’un bras k de l’onduleur à trois niveaux. 48
Vecteurs tension que peut fournir l'onduleur à multi-niveaux de
Figure III.11 51
tension………………………………………………………………………..
Figure III.12 Représentations la sélection des vecteurs de tension………………… 53
Contrôle du flux statorique et du couple électromagnétique à l'aide
Figure III.13 54
des comparateurs à hystérésis à trois et cinq niveaux…………………
Schéma synoptique de la commande directe du couple pour un
Figure III.14 56
onduleur à trois niveaux appliquée sur une machine asynchrone…...
Figure III.15 La réponse des tensions d’alimentation Vsa, Vsb, Vsc………………. 57
Figure III.16 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 57
Figure III.17 La réponse du module du flux statorique……………………………… 57
Figure III.18 La réponse du courant statorique ……………………………………… 58
Figure III.19 La réponse de la vitesse de rotation…………………………………… 58
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure III.20 58
(α, β)………………………………………………………………………….
Figure III.21 La réponse du couple électromagnétique……………………………… 58
Figure III.22 La réponse des tensions d’alimentation Vsa, Vsb, Vsc ………………. 60
Figure III.23 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 60
Figure III.24 La réponse du module du flux statorique……………………………… 60
Figure III.25 La réponse du courant statorique ……………………………………… 61
Figure III.26 La réponse de la vitesse de rotation…………………………………… 61
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure III.27 61
(α, β)………………………………………………………………………….
Figure III.28 La réponse du couple électromagnétique……………………………… 61
Figure IV.1 Structure d'un neurone biologique………………………………………. 67
Figure IV.2 Modèle d'un neurone artificiel…………………………………………… 67
Figure IV.3 Réseau non bouclé…………………………………………………………. 70
Figure IV.4 Réseau bouclé………………………………………………………………. 71
Figure IV.5 Apprentissage supervisé………………………………………………….. 73
Figure IV.6 Apprentissage non supervisé…………………………………………….. 74
Organigramme illustre les démarches pour établir un réseau de
Figure IV.7 78
neurones……………………………………………………………………..
Figure IV.8 L’architecture de réseau de neurones proposé………………………… 79
L’opération d’apprentissage utilisée pour la modélisation du
Figure IV.9 81
contrôleur neuronal de DTC à multi-niveaux………………………….
L’opération (a) et l’évolution d’’apprentissage (b) de réseau
Figure IV.10 82
neurones pour DTNNC sous logiciel Matlab-Simulink………………..
Schéma synoptique de la commande neuronale directe du couple
Figure IV.11 pour une machine asynchrone appliquée sur un onduleur multi- 83
niveaux……………………………………………………………………….
Figure IV.12 La réponse des tensions d’alimentation Vsa, Vsb, Vsc ………………. 84
Figure IV.13 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 84
Figure IV.14 La réponse du module du flux statorique……………………………… 84
Figure IV.15 La réponse du courant statorique ……………………………………… 85
Figure IV.16 La réponse de la vitesse de rotation…………………………………… 85
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure IV.17 85
(α, β)………………………………………………………………………….
Figure IV.18 La réponse du couple électromagnétique……………………………… 85
Figure V.1 Exemple d’ensembles considérés en logique booléenne……………... 90
Figure V.2 Exemple d’ensembles considérés en logique floue……………………. 90
Figure V.3 Déférentes formes des fonctions d’appartenance……………………… 91
Figure V.4 Schéma synaptique général d’un régulateur flou……………………… 93
Figure V.5 Exemple d’inférence Max-Min (Mamdani)…………………………….. 95
Figure V.6 Exemple d’inférence Max-Produit (Larsen)……………………………. 96
Figure V.7 Défuzzification par le centre de gravité………………………………… 97
Figure V.8 Défuzzification par valeur maximum……………………………………. 97
Figure V.9 Défuzzification par les hauteurs pondérées……………………………. 97
Figure V.10 Méthodologie de la synthèse d'une commande floue………………….. 98
Figure V.11 Fonctions d’appartenance de l’erreur du flux…………………………. 100
Figure V.12 Fonctions d’appartenance de l’erreur du couple……………………… 100
Figure V.13 Fonctions d’appartenance de l’angle du flux…………………………. 100
Figure V.14 Fonctions d’appartenance de la tension……………………………….. 101
La structure finale de régulateur flou utilisé dans la DTC multi-
Figure V.15 103
niveaux……………………………………………………………………….
Figure V.16 Surface caractéristique de régulateur flou……………………………... 103
Schéma synoptique de la commande floue directe du couple pour
Figure V.17 104
une machine asynchrone appliquée sur un onduleur multi-niveaux…
Figure V.18 La réponse des tensions d’alimentation Vsa, Vsb, Vsc ………………. 105
Figure V.19 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 105
Figure V.20 La réponse du module du flux statorique……………………………… 105
Figure V.21 La réponse du courant statorique ……………………………………… 106
Figure V.22 La réponse de la vitesse de rotation…………………………………… 106
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure V.23 106
(α, β)………………………………………………………………………….
Figure V.24 La réponse du couple électromagnétique……………………………… 106
Figure VI.1 Principe du système neuro-flou…..………………………………………. 111
Figure VI.2 Structure générale d’un réseau neuro-flou……….……………………. 111
Figure VI.3 Principe de fonctionnement système neuro-flou………………………… 112
Figure VI.4 Exemple de réseaux de neurones pour la commande floue…………... 112
Exemple d’association en série d’un réseau de neurone et un
Figure VI.5 113
système flou…………………………………………………………………
Exemple d’association en parallèle d’un réseau de neurone et un
Figure VI.6 114
système flou…………………………………………………………………
Figure VI.7 Système neuro-flou type Mamadani……………………………………... 115
Figure VI.8 Système neuro-flou type Takagi –Sugeno………………………………. 116
Figure VI.9 Système neuro-flou type ANFIS………………………………………….. 117
Figure VI.10 Les étapes pour obtenir un régulateur neuro-flou…………………… 120
Figure VI.11 Editeur d’apprentissage un régulateur neuro-flou sous Matlab……. 121
Figure VI.12 Fonctions d’appartenances de premier neurone………………………. 121
Figure VI.13 Fonctions d’appartenances de deuxième neurone…………………….. 122
Figure VI.14 Fonctions d’appartenances de troisième neurone…………………….. 122
Figure VI.15 La structure générale de régulateur neuro-flou proposé…………… 122
Figure VI.16 Surface de régulateur neuro-flou proposé…………………………… 123
Schéma synoptique de la commande neuro-floue directe du couple
Figure VI.17 pour une machine asynchrone appliquée sur un onduleur multi- 123
niveaux……………………………………………………………………….
Figure VI.18 Structure interne du contrôleur neuro-flou direct du couple……….... 124
Les fonctions d’appartenance pour les deux entrées (l’erreur sur le
Figure VI.19 125
flux et l’erreur sur le couple)………………………………………………
Figure VI.20 Méthode pour calculer le vecteur de tension de référence…………... 127
Figure VI.21 Configuration des vecteurs de sortie d’onduleur multi-niveaux……… 129
Figure VI.22 Synthèse du vecteur de tension de référence……………………………. 131
Figure VI.23 Organigramme de la MLI vectorielle de l’onduleur à trois niveaux... 134
Schéma synoptique de la commande neuro-floue directe du couple
Figure VI.24 avec la modulation vectorielle pour une machine asynchrone 135
appliquée sur un onduleur multi-niveaux……………………………….
Figure VI.25 La réponse des tensions d’alimentation Vsa, Vsb, Vsc ………………. 136
Figure VI.26 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 136
Figure VI.27 La réponse du module du flux statorique……………………………… 136
Figure VI.28 La réponse du courant statorique ……………………………………… 137
Figure VI.29 La réponse de la vitesse de rotation…………………………………… 137
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure VI.30 137
(α, β)………………………………………………………………………….
Figure VI.31 La réponse du couple électromagnétique……………………………… 137
Figure VI.32 La réponse des tensions d’alimentation Vsa, Vsb, Vsc ………………. 139
Figure VI.33 La trajectoire du flux statorique dans le plan référentiel (α, β)…… 139
Figure VI.34 La réponse du module du flux statorique……………………………… 139
Figure VI.35 La réponse du courant statorique ……………………………………… 140
Figure VI.36 La réponse de la vitesse de rotation…………………………………… 140
La trajectoire des tensions d’alimentation dans le plan référentiel
Figure VI.37 140
(α, β)………………………………………………………………………….
Figure VI.38 La réponse du couple électromagnétique……………………………… 140
TABLE DES MATIERES
Dédicace……………………………………………………………………………………………... i
Remerciement……………………………………………………………………………………….. ii
Résumé……………………………………………………………………………………………….. iii
Contributions de l’auteur………………………………………………………………………….. iv
Principales notations………………………………………………………………………………. v
Abréviations…………………………………………………………………………………………. vi
Liste des tableaux…………………………………………………………………………………… vii
Liste des figures…………………………………………………………………………………….. viii
Introduction Générale
Chapitre I : Etat de l’Art de La Commande DTC
I.1 Introduction ……………………………………………………………………………………. 01
I.1.1 Avantages de la commande DTC……………………………………………………… 02
I.1.2 Inconvénients de la commande DTC ……………………..………………………….. 02
I.2 Etat de l’art sur l’amélioration de la commande DTC de la machine asynchrone……. 02
I.2.1 La commande DTC basée sur la modulation vectorielle ………………..…..…...... 03
I.2.2 La commande DTC par les onduleurs à multi-niveaux ……………………...…… . 04
I.2.3 La commande DTC basée sur l’intelligence artificielle ……………………......… . 04
I.3 Conclusion……………………………………………………………………………………….. 07
Bibliographie………………………………………………………………………………………... 08
Chapitre II : Modélisation De L’association
Convertisseur-Machine Asynchrone Triphasée
II.1 Introduction ……………………………………………………………………………………. 10
II.2 Description de la machine asynchrone ………….…………………………………………. 10
II.3 Hypothèses simplificatrices ………………………………………………………………….. 11
II.4 Modélisation dans le repère triphasé……………………………………………………….. 12
II.4.1 Équations électriques ………………………………………………………………….. 12
II.4.2 Équations magnétiques ……………………………………………………………….. 13
II.4.3 Équation mécanique……………………………………………………………………. 14
II.5 Transformation du système triphasée ………………………………………………………. 14
II.5.1 Transformation de Park (Repère d, q)……………………………………………….. 15
II.5.2 Transformation de Concordia (Repère , )………………………………………… 16
II.6 Choix de repère ………………………………………………………………………………. 16
II.6.1 Référentiel lié au stator (α, β) ………………………………………………………… 17
II.6.2 Référentiel lié au rotor (x, y) ………………………………………………………… 17
II.6.3 Référentiel lié au champ tournant (d, q) ……………………………………………. 17
II.7 Modélisation de la machine asynchrone par la représentation d'état ………………. 18
II.7.1 Le système d’équation d’état …………………………………….............................. 18
II.8 Modélisation de l'alimentation de la MAS a vitesse variable …………………………… 20
II.8.1 Système d’alimentation de la MAS …………………………………………………… 20
II.8.1.1 Modélisation du redresseur triphasé double alternance à diodes ……….. 21
II.8.1.2 Modélisation du filtre passe bas ……………………………………………… 22
II.8.1.3 Onduleur de tension ……………………………………………………………. 23
II.9 La commande MLI …………………………………………………………………………… 25
II.9.1 MLI vectorielle (SVM)…………………………………………………………………. 25
II.9.1.1 Calcul des temps d’applications des vecteurs……………………………….. 26
II.9.1.2 Algorithme de détermination le secteur K ………………………………….. 29
II.10 Simulation numérique ……………………………………………………………………… 30
II. 11 Interprétations des résultats obtenus……………………………………………………… 31
II. 12 Conclusion…………………………………………………………………………………… 31
Bibliographie………………………………………………………………………………………... 32
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.
III.1 Introduction …………………………………………………………………………………… 34
III.2 Principe de la commande DTC appliquée sur un onduleur à deux niveaux………….. 35
III.3 La théorie de la commande directe du couple……………………………………………. 35
III.3.1 Le contrôle vectoriel du couple………………………………………………………. 35
III.3.2 Le contrôle de flux statorique………………………………………………………… 37
III.4 Présentation de la structure de contrôle………………………………………………….. 38
III.4.1 Sélection du vecteur tension…………………………………………………………. 38
III.4.2 Estimateurs……………………………………………………………………………… 39
III.4.2.1 Estimateur du flux statorique……………………………………………….. 39
III.4.2.2 Estimateur du couple électromagnétique………………………………... 40
III.4.3 Correcteurs…………………………………………………………………………….. 41
III.4.3.1 Correcteur de flux de DTC à deux niveaux…………………………….. 41
III.4.3.2 Correcteur de couple de DTC à deux niveaux…………………………… 42
III.4.4 Elaboration des tables de commande…………………………………………………… 43
III.4.4.1 Elaboration de table de commutation avec séquences nulles……………... 44
III.4.4.2 Elaboration de table de commutation sans séquences nulles……………… 44
III.5 Structure générale de contrôle directe du couple sur un onduleur à deux niveaux…. 45
III.6 Modélisation de l’Onduleur multi-niveaux à structure NPC…………………………. 47
III.6.1 Structure de l’onduleur à trois niveaux…………………………………………… 47
III.6.2 Modélisation du fonctionnement d’un bras d’onduleur à trois niveaux……… 48
III.6.3 Différents configuration du bras d’onduleur à trois niveaux………………….. 48
III.6.4 Modèle de commande des onduleurs à trois niveaux…………………………… 49
III.7 La DTC commandée par un onduleur à trois niveaux de tension…………..…………. 52
III.7 .1 Introduction ………………………………………………………………………… 52
III.7 .2 Détermination des secteurs angulaires………………………………………….. 52
III.7 .3 Description de la structure du contrôle directe du couple à trois niveaux…. 52
III.7.4 Correcteur de flux et de couple de DTC à trois niveaux…….…………………. 53
III.7.5 Table de commutation de commande DTC pour l’onduleur à 3-niveaux
55
NPC ……………………………………………………………………………………
III.7.6 Structure générale de contrôle directe du couple sur un onduleur à trois
55
niveaux………………………………………………………………………………..
III.8 Résultats de simulation (DTC à deux niveaux)………………………………………….. 57
III.9 Interprétation des résultats…………………………………………………………………. 58
III.10 Résultats de simulation (DTC à multi niveaux)……………………………………… .. 60
III.11 Interprétation des résultats………………………………………………………………... 61
III.12 Conclusion ………………………………………………………………………………...… 62
Bibliographie………………………………………………………………………………………... 63
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels
IV.1 Introduction et historique …………………………………………………………………... 65
IV.2 Le neurone biologique……………………………………………………………………… 66
IV.3 Le neurone artificiel ………………………………………………………………………… 67
IV.3.1 La fonction d’entrée…………………………………………………………………. 68
IV.3.2 La fonction d’activation …………………………………………………………….. 69
IV.3.3 La fonction de sortie ……………………………………………………………….. 69
IV.4 Architecture des réseaux de neurones…………………………………………………….. 70
IV.4 .1 Les réseaux non bouclés : (feedforward)………………………………………… 70
IV.4 .2 Les connexions récurrentes:(feedback network Or recurrent network)……… 71
IV.5 Quelques types des réseaux célèbres ……………………………………………………… 71
IV.5.1 Le perceptron simple………………………………………………………………… 72
IV.5.2 Les perceptrons multicouches MPL……………………………………………… 72
IV.5.3 Le modèle Adaline…………………………………………………………………... 72
IV.5.4 Les réseaux de Hopfield……………………………………………………………. 72
IV.5.5 Les réseaux de Kohonen …………………………………………………………… 72
IV.6 Apprentissage des réseaux de neurones…….……………………………………………. 72
IV.6.1 L'apprentissage hors ligne …………………………………………………………. 73
IV.6.2 L'apprentissage en ligne ……………………………………………………………. 73
IV.7 Type d'apprentissage des réseaux de neurones………………………………………….. 73
IV.7.1 Apprentissage supervisé……………………………………………………………... 73
IV.7.2 Apprentissage non supervisé………………………………………………………... 73
IV.7.3 Apprentissage semi supervisé ……………………………………………………… 74
IV.7.4 Apprentissage hybride………………………………………………………………. 74
IV.8 Les algorithmes des méthodes d’apprentissage utilisés dans les réseaux de 74
neurones………………………………………………………………………………………..
IV.8 .1 Méthode de Gauss-Newton………………………………………………………… 74
IV.8 .2 Méthode de de Levenberg-Marquardt……………………………………………. 75
IV.9 Les avantages et les inconvénients des réseaux de neurones…………………………… 76
IV.9.1 Les avantages des réseaux de neurones…………………………………………. 76
IV.9.2 Les inconvénients des réseaux de neurones……………………………………… 76
IV.10 Identification et commande par réseaux de neurones …………………………………. 77
IV.11 Lés étapes à suivre pour la conception d’un réseau de neurones…… .……………… 77
IV.12 Application les réseaux de neurones dans la DTC à multi-niveaux…………………. 78
IV.13 La modélisation neuronale ………………………………………………………………… 79
IV.13.1 Les étapes de modélisation………………………………………………………. 79
IV.13.2 Conception la base de données ………………………………………………….. 80
IV.13.3 Déroulement de l’apprentissage………………………………………………….. 80
IV.14 Résultats de simulation ……………………………………………………………………. 84
IV.15 Interprétation des résultats……………………………………………………………….. 85
IV.16 Conclusion …………………………………………………………………………………… 86
Bibliographie……………………………………………………………………………………….. 87
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue
V.1 Introduction……………………………………………………………………………………. 89
V.2 La différence entre ensemble floue et ensemble booléen ………………………………… 90
V.3 Les fonctions d’appartenance………………………………………………………………… 91
V.4 Opérateurs de la logique floue ………………………………………………………………. 92
V.5 Structure d’un régulateur flou ………………………………………………………………. 93
V.5.1 La fuzzification …………………………………………………………………………. 93
V.5.2 L’inférence ………………………………………………………………………………. 94
V.5.2.1 Méthode d’inférence Max-Min(Méthode de Mamdani)……………………. 95
V.5.2.2 Méthode d’inférence Max-Produit (Méthode de Larsen)………………….. 95
V.5.2.3 Méthode d’inférence Somme-Produit………………………………………… 96
V.5.3 La défuzzification ……………………………………………………………………… 96
V.5.3.1 Méthode de centre de gravité………………………………….………………. 97
V.5.3.2 Méthode de maximum…………………………………………………………... 97
V.5.3.3 Méthode des hauteurs pondérées…………………………………………….. 97
V.6 Les étapes à suivre pour la conception d’un régulateur flou….………………………… 98
V.7 Avantages et inconvénients de la commande par la logique floue…………………….. 98
V.7.1 Les avantages……………………………………………………………………………. 98
V.7.2 Les inconvénients……………………………………………………………………….. 99
V.8 Application la logique floue dans la DTC à multi-niveaux ……………………………... 99
V.9 La description de régulateur DTC-flou……………………………………………………. 99
V.9.1 La fuzzification…………………………………………………………………………. 99
V.9.1.1 L’erreur de flux statorique …………………………………………………….. 100
V.9.1.2 L’erreur de couple………………………………………………………………. 100
V.9.1.3 L’angle du flux statorique……………………………………………………… 100
V.9.1.4 La tension produite……………………………………………………………… 101
V.9.2 L’inférence floue ………………………………………………………………………... 101
V.9.3 Déffuzification…………………………………………………………………………… 102
V .10 Résultats de simulation…………………………………………………………………….. 105
V.11 Interprétation des résultats…………………………………………………………………. 106
V.12 Conclusion…………………………………………………………………………………….. 107
Bibliographie………………………………………………………………………………………... 108
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou-SVM
VI.1 Introduction……………………………………………………………………………………. 110
VI.2 Définition le système neuro-flou ..…………………………………………………………. 110
VI.3 Structure de commande neuro-floue……………………………………………………….. 111
VI.4 Principe de fonctionnement …………………………………………………………………. 111
VI.5 Architectures de neuro-flou …………………………………………………………………. 112
VI.5.1 Première architecture ………………………………………………………………… 112
VI.5.2 Deuxième architecture………………………………………………………………… 113
VI.5.3 Troisième architecture ………………………………………………………………... 113
VI.6 Combinaisons des réseaux neuro-flou……………………………………………………... 114
VI.6.1 Réseau flou neuronal………………………………………………………………….. 114
VI.6.2 Système neuronal/flou simultanément………………………………………………. 114
VI.6.3 Modèles neuro-flous coopératifs …………………………………………………….. 114
VI.6.4 Modèles neuro-floues hybrides……………………………………………………….. 114
VI.7 Systèmes neuro-flous hybrides intégrés…………………………………………………..... 114
VI.7.1 Systèmes neuro-flous de type Mamdani …………………………………………….. 114
VI.7.2 Systèmes neuro-flous de type Takagi –Sugeno……………………………………... 116
VI.7.3 Systèmes ANFIS (Adaptative Network Fuzzy Inference System)………………… 117
VI.8 Les avantages des systèmes neuro-flous…………………………………………………... 118
VI.8.1 La rapidité de calcul…………………………………………………………………… 118
VI.8.2 La flexibilité ……………………………………………………………………………. 118
VI.8.3 Généralisation des connaissances…………………………………………………... 118
VI.9 Application de neuro-flou dans la DTC à multi-niveaux ……………………………….. 119
VI.10 Régulateur neuro-flou appliqué à la commande DTC (première approche)………... 119
VI.11 Les étapes à suivre pour établir un régulateur neuro-flou……...…………………….. 119
VI.11.1 Le premier neurone (Erreur sur le flux)…………………………………………… 121
VI.11.2 Le deuxième neurone (Erreur sur le couple)…………………………………..…. 122
VI.11.3 Le troisième neurone (La position du flux statorique)…………………………… 122
VI.12 Régulateur neuro-flou avec SVM appliqué à la commande DTC (deuxième
124
approche) …………………………………………………………………………………………….
VI.12.1 La structure des couches pour le contrôleur DTC neuro-flou-SVM……..…….. 124
VI.12.2 La sélection de l’angle d’incrémentation selon l’évolution du flux et du couple 127
VI.13 MLI vectorielle appliquée à l’onduleur multi-niveaux………………………………... 128
VI.14 Synthèse du vecteur de référence à trois niveaux………………………………………. 130
VI.15 Résultats de simulation (DTC neuro-flou)………………………………………………. 136
VI.16 Interprétation des résultats………………………………………………………………… 138
VI.17 Résultats de simulation (DTC neuro-flou-SVM)………………………………………… 139
VI.18 Interprétation des résultats ………………………………………………………………... 141
VI.19 Conclusion……………………………………………………………………………………. 142
Bibliographie ……………………………………………………………………………………….. 143
Etude comparative entre les méthodes proposées…………………………………………….... 145
Conclusion générale ………………...…………………………………………………………...... 148
Annexes……………………………………..………………………………………………………... 150
Introduction générale
Introduction Générale

Introduction Générale

A
u début de l’évolution technologique, le moteur à courant continu a constitué le
seul moyen de transformation de l’énergie électrique en énergie mécanique, et la
seule source électromagnétique à vitesse variable grâce à sa simplicité de
commande. Par contre, ce type de machine présente plusieurs inconvénients liés à son poids,
elle ne peut être utilisée ni dans les applications de grande puissance, ni dans des endroits
explosifs, pour ces raisons, y’avait des vues destinées vers d’autres solutions pour avoir des
actionneurs moins coûteux, sécurisés et plus robustes.

Les développements simultanément des composants à semi-conducteurs appliqués dans


le domaine de la puissance et la naissance des nouvelles techniques de commande dans les
entraînements de vitesses variables, ont tous permis de remplacer avantageusement les
moteurs à courant continu par les moteurs asynchrones.

Actuellement, les statistiques récentes indiquent que le marché mondial à plus de 12


milliards de dollars représente la commercialisation dans les machines asynchrones avec une
croissance annuelle estimée à environ 15%. Les moteurs d’induction, donc, sont choisis grâce
à ces efficacités dans les environnements difficiles, leurs faibles coûts, leurs performances et
la facilité d’entretien, puisque plusieurs applications industrielles exigent des variateurs de
vitesse ayant des hautes performances dynamiques, une bonne précision en régime permanent,
une haute capacité de supporter des surcharges sur des larges gammes de vitesse et une grande
robustesse contre les différentes perturbations appliquées.

Le contrôle de la machine d’induction par des onduleurs à deux niveaux est la méthode
la plus classique et la plus simple, mais l’utilisation ce genre de convertisseurs présente des
inconvénients tels que, la limitation en puissance et que le taux d’harmonique est très élevé
qui limite par conséquent le développement d’entraînements alternatifs. Ces problèmes ont
conduit à l’apparition de nouvelles structures de convertisseurs de puissance destinées pour
les applications de haute tension appelées convertisseurs multi-niveaux. A nos jours, l’étude
des machines asynchrones alimentées par des convertisseurs multi-niveaux constitue un vaste
thème de recherche dans les laboratoires d’électrotechnique. Grâce à leur topologie, les
onduleurs à multi-niveaux permettent une association série de plusieurs cellules de
commutation, ce qui permet une augmentation du niveau de tension appliquée et également la
puissance transitée. Cette structure permet aussi d’avoir une tension de sortie proche de la
sinusoïde grâce aux plusieurs niveaux de tension fournis par ce convertisseur.

Ces dernières années, les progrès qui conjoignent l’électronique de puissance avec la
micro-informatique permettent de créer plusieurs techniques qui ont été développées pour
permettre le variateur de vitesse d'atteindre parfaitement ces performances, parmi ces
techniques de commande qui sont appliquées aux machines asynchrones, on distingue:
la commande scalaire, la commande vectorielle, la commande directe du couple, la
commande directe du flux et la commande non linéaire (mode glissant, prédictive,
adaptative…).
Introduction Générale

Ce travail présenté, porte sur la commande directe du couple (DTC), cette commande se
base sur l’orientation du vecteur flux statorique par l’action directe sur l’état des interrupteurs
d’onduleur de tension. La détermination de la séquence de commande appliquée aux
interrupteurs de l’onduleur est généralement basée sur l’utilisation de régulateurs à hystérésis
dont la fonction est de contrôler l’état du système.

Le thème de recherche développé dans cette thèse concerne principalement l’exploitation


des nouvelles solutions technologiques pour implémenter des commandes intelligentes à base
de la commande directe de couple appliquée sur un moteur asynchrone piloté par un onduleur
multi-niveaux dans le cadre d’améliorer ce type de commande afin de réduire les oscillations
du couple et du flux.

Cette thèse est organisée en six chapitres, selon l’ordre suivant:

Dans le premier chapitre, c’est la problématique et l’état de l’art lié à la commande DTC où
on a cité en cas particulier les anciens et les récents travaux de recherche proposés pour
améliorer les performances de la commande directe du couple.

Au niveau du deuxième chapitre, nous commencerons par la modélisation de l’ensemble


(machine - alimentation), nous donnerons une description puis une modélisation
mathématique de la machine à induction avec différentes méthodes de présentation et les
différents référentiels biphasés utilisés selon le cas (fixe, tournant…). Après, on procédera à la
modélisation de son alimentation, ‘redresseur ; filtre ; onduleur’, et on appliquera à la fin la
commande MLI vectorielle d’onduleur à deux niveaux pour tester les performances du
modèle utilisé.

Dans le troisième chapitre, nous montrerons le principe de base et le calcul de la commande


directe de couple appliquée dans le moteur asynchrone alimenté par deux types d’onduleurs
(deux et multi-niveaux), qui est le noyau de recherche dans notre thèse.

Au niveau du quatrième chapitre, nous présenterons les fondements des réseaux de neurones
artificiels afin de les appliqués à la commande neuronale dans la DTC à multi-niveaux afin de
voir l’intérêt de cette technique dans ce genre de commande.

Par la suite, et en cinquième chapitre, nous exposerons les principes de base de la logique
floue, après que nous appliquerons cette stratégie de contrôle dans le noyau de l’algorithme
original de la DTC à multi-niveaux pour vérifier les avantages de cette technique.

On terminera par le sixième chapitre, où nous proposerons dans cette partie une récente
méthode appartienne dans le monde d’intelligence artificielle représentante dans la commande
neuro-floue, dont l’objectif est de mettre cette stratégie dans l’algorithme fondamental de la
DTC à multi-niveaux avec deux méthodes proposées, afin de tester ses performances.

Et on finira cette thèse par une conclusion générale qui vient clôturer notre travail présenté
et quelques perspectives qu’englobent nos propositions pour développer cette stratégie de la
commande comme une suite de recherche dans ce travail.
Chapitre I:

Etat de l’Art de La Commande DTC


Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

I.1 Introduction :

La technique de commande directe du couple (Direct Torque Control ou ‘DTC’) est introduite
en 1985 par ‘Takahashi’. Cette méthode consiste à commander directement la fermeture ou
l'ouverture des interrupteurs de l'onduleur à partir des valeurs pré calculées du flux statorique
et du couple car les changements d'état des interrupteurs sont liés directement à l'évolution des
états électromagnétiques du moteur. Donc elle n’a pas commandé à partir des consignes de
tension et de fréquence comme d’autres commandes vectorielles, mais son principe est basé
sur l'utilisation de régulateurs à hystérésis pour surveiller l'amplitude et l’évolution du flux
statorique et le couple électromagnétique. Il s'agit donc de maintenir ces deux grandeurs dans
des plages d'erreurs définies, les deux sorties de ces régulateurs combinées avec l’angle qui
indique la position où le vecteur du flux statorique se trouve dans le plan biphasé, représentent
les données nécessaires de l’algorithme de commutation qui servent à contrôler l'onduleur
pour alimenter le moteur asynchrone avec des tensions bien sélectionnées.

Les premiers produits commerciaux utilisant cette méthode de commande sont des systèmes
de tractions du groupe ABB, conçus pour équiper les locomotives hybrides. En 1995, la
famille de variateurs de vitesse qui utilise la méthode, est lancée.

Les principaux éléments constitutifs de la structure de la commande DTC conventionnelle


avec un asservissement de vitesse sont les suivants:

 Deux estimateurs du couple et du flux statorique basés sur le modèle lié au stator,
 Une table de sélection du vecteur de tension désirée du stator, établie en concordance
avec les erreurs du flux et du couple simultanément,
 Deux comparateurs à hystérésis l'un à deux niveaux destiné pour le contrôle du flux,
l'autre à trois niveaux consacré au contrôle du couple électromagnétique,
 Un régulateur de vitesse.

Dans les littératures, il y’avait plusieurs travaux qui présentés les méthodes utilisées pour
améliorer les performances de la commande DTC. Dans ce chapitre nous allons présenter
l'état de l'art du sujet que nous intéressons, en regroupant l'ensemble des articles ou contenus
d'ouvrages, que nous avons choisis de sélectionner pour commencer notre étude. A chaque
fois, nous tacherons de présenter dans quelle configuration de commande l'auteur s'est place,
quelle a été sa thématique de recherche et si il y a eu ou pas une validation expérimentale.

Dans le bilan que nous présenterons ensuite, nous donnerons les grands axes de recherche
vers lesquels nous avons souhaite nous orienter à la lueur de cette étude bibliographique.
On rappelle dans la suite de ce paragraphe les avantages et les inconvénients de la stratégie de
commande DTC objet des travaux de recherche présentés dans cette thèse.

1
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

I.1.1 Avantages de la commande DTC :

Parmi les avantages de la commande DTC on peut citer :

 Le couple et le flux peuvent être changés rapidement, par la possibilité de modifier


brutalement leur consigne respective.
 Cette commande ne nécessite pas obligatoirement un capteur de la vitesse.
 Les réponses des grandeurs sont instantanées.
 Bon rendement, car les transistors n'étant commutés qu'en cas de besoin.
 Réponse à un échelon sans dépassement.
 Pas de transformation de Park, donc pas nécessaire de connaitre la position du rotor pour
calculer l'algorithme.
 Robuste contre les changements paramétriques de la machine (Résistance statorique).

I.1.2 Inconvénients de la commande DTC :

Les inconvénients de la commande DTC peuvent être résumés par les points suivants :

 A cause de la commande par hystérésis, la fréquence de commutation n'est pas constante.


Toutefois, la définition de la plage de tolérance permet de régler approximativement la
fréquence de commutation moyenne.
 Oscillations importantes dans les réponses du flux et du couple.
 Le microcontrôleur utilisé doit être très rapide. Il lui faut une grande puissance de calcul.
En effet, l'algorithme doit être calculé très régulièrement, pour éviter que le flux ou le
couple ne sortent de leurs plages de tolérance.
 Le capteur des courants doit être bien étalonné et de très bonne classe de mesure, afin de ne
pas induire aucune erreur dans les calcules de contrôleur.
 Un filtre passe-bas ne peut pas être introduit dans le circuit pour enlever le bruit parce que
le retard qu'il causerait empêcherait le bon fonctionnement de régulateurs à l'hystérésis.
 La mesure des tensions doit également être de bonne qualité, pour des raisons similaires au
capteur des courants.
 Les courants et les tensions statoriques sont mal contrôlés en régimes transitoires.

I.2 Etat de l’art sur l’amélioration de la commande DTC de la machine asynchrone :

Durant les deux dernières décennies, de nouvelles stratégies de la commande DTC classique
sont développées. Ces stratégies ont été proposées dans le cadre de surmonter les défauts liés
avec cette commande et d’améliorer également ces performances pour avoir un contrôle
parfait.Les travaux de recherche montrent plusieurs méthodes pour améliorer les
performances de la commande DTC, qui sont présentés dans:

 La commande DTC basée sur la technique de modulation vectorielle (DTC-SVM);


 La commande DTC avec l’utilisation des onduleurs à multi-niveaux ;
 La commande DTC pilotée par des techniques intelligentes.

2
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

I.2.1 La commande DTC basée sur la modulation vectorielle:

Plusieurs auteurs ont inséré la technique de modulation vectorielle (MLI) dans la


commande directe du couple. Le principe de cette technique est de reconstruire le signale de
tension de référence avec des amplitudes et des fréquences réglables, par le contrôle direct du
vecteur flux statorique dans un repère (α,β) lié au stator.

Malgré la complexité de l’algorithme utilisé dans ce genre de commande, mais l’effet


d’utilisation de cette technique est d’avoir des fréquences de modulation constantes, et réduire
considérablement les oscillations du flux et du couple. Cette stratégie, s’agit d’éliminer la
table de sélection des vecteurs de tension de ‘Takahashi’ et les régulateurs à hysistéris
utilisées dans le contrôle du flux et du couple et les remplacés par un block calculateur de
MLI et deux régulateurs de type proportionnel-intégral (PI) pour commander le flux et le
couple respectivement.

Dans les travaux de [21], ont utilisé la modulation vectorielle dans la commande DTC. Les
auteurs ont proposé d’utiliser un régulateur hybride pour régulateur de vitesse et deux
régulateurs PI pour les boucles du couple et du flux pour construire le vecteur de tension de
référence utilisé par la technique SVM-DTC. Cette proposition donne des résultats
satisfaisants dans les réponses du couple et du flux.

Dans [22], les auteurs associaient la technique de SVM sur la commande DTC à deux
niveaux, les résultats de ce travail montrent des diminutions remarquables des ondulations du
couple électromagnétique, et avoir des fréquences de commutations constantes.

Cependant, les auteurs de [23], ont développé une stratégie DTC sans capteur de vitesse par
un estimateur basé sur la technique model de référence (MRAS) associé à un régulateur
hybride, cette technique est conçue sur la base d’un system adaptatif utilisant deux
estimateurs du flux, le premier, n’introduisant pas la vitesse, est appelé modèle de référence,
et le second pilote un algorithme d’adaptation qui génère la vitesse estimée . Par comparaison
à la stratégie DTC conventionnelle, les performances de la méthode proposée sont améliorées.
Le flux statorique ainsi que le couple sont directement contrôlés par le vecteur de tension
généré par l’onduleur puisque ce contrôle est assuré par un algorithme de MLI vectorielle.

Les auteurs de [24] et [25] ont montré que l’état de commutations appliquées à la machine
dans DTC conventionnelle est insuffisant pour un bon fonctionnement de la commande. Ces
travaux montrent aussi que l’insertion de la modulation vectorielle dans la commande DTC
permet d’une part de résoudre partiellement le problème des ondulations du flux et du couple,
et d’autre part de contrôler la fréquence de commutation des interrupteurs de l’onduleur. Dans
ces conditions, la commande DTC à base de SVM par une utilisation d’un comparateur du
couple de cinq niveaux permet de produire un nombre de vecteurs tension plus élevé. Les
performances de la stratégie proposée ont été validées par des simulations et des essais
expérimentaux. Cette stratégie est montrée une réponse améliorée du flux et du couple et
d’avoir une fréquence de commutation constante.

3
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

I.2.2 La commande DTC par les onduleurs à multi-niveaux :

A causes des limitations enregistrés aux niveaux des onduleurs à deux niveaux, et avec le
développement simultanément de l’électronique de puissance et des composants à semi-
conducteurs, la technique des onduleurs à multi-niveaux devienne un outil de recherche très
important, ce dernier liée également à des tensions et puissances plus élevées. D’autre part, on
cherche à obtenir des grandeurs de sortie ayant une meilleure qualité.

Au ces points de vue, les chercheurs électrotechniciens sont consacrés d’utiliser des onduleurs
multi-niveaux dans la commande DTC.

Dans [7], les auteurs dans ce travail présentés une étude comparatives entre la commande
DTC classique à deux et à multi-niveaux avec l’utilisation dans chaque cas deux types des
régulateurs de vitesses, un proportionnel intégral et l’autre par la technique de mode glissant.
Les résultats des simulations été marquées sous différentes conditions. Les résultats
numériques montrent qu’il y’a pas des modifications considérables dans la réponse de vitesse
dans les cas étudiées, mais y’a des réductions importantes du couple dans le cas d’utilisation
d’un onduleur à multi-niveaux.

Dans cette publication [13], les auteurs sont montrent qu’il est possible d’intégré la
commande DTC dans les entrainements des énergies éoliennes par l’utilisation d’une machine
asynchrone à double alimentation (MADA) actionnée par un onduleur à multi-niveaux, les
résultats de simulation illustrée une dégradation parfaite de taux des ondulations au niveau du
couple et dans le flux dans la machine utilisée.

Les chercheurs, dans [26], utilisaient une commande DTC basée sur les onduleurs à multi-
niveaux. Cette méthode, même elle est complexe si on la compare avec la commande de DTC
seulement à deux niveaux, cependant cette proposition repose sur deux avantages majeurs, la
réduction du nombre de transformateurs à utiliser et le facteur de puissance unitaire assuré par
l’onduleur à trois niveaux. Entre autres, l’abaissement de la distorsion harmonique ce que
présente une réduction importante des ondulations du couple électromagnétique et le flux
statorique. Egalement, cette stratégie nous permet d’utiliser des machines plus puissantes.

I.2.3 La commande DTC basée sur l’intelligence artificielle :

Depuis 1990, les méthodes d’intelligences artificielles ont été introduites dans les
applications de l’électronique de puissance, dans les entraînements électriques, et même dans
l’estimation de la vitesse [1]. L’avantage de ces techniques c’est qu’elles n’exigent pas un
model mathématique pour concevoir les contrôleurs ou les observateurs. En effet, suite à de
moteur d’inférence qui gère les règles dans la base de données dans la logique floue, ou
l’opération de l’apprentissage dans les réseaux de neurones et les systèmes neuro-flous, ils
peuvent estimer, identifier et adapter avec une grande précision les paramètres et les variables
de commande de tout actionneur électrique en ,particulier, la machine à induction qui est un
système multi-variables et non linéaire [2].

4
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

Récemment, le contrôle basé sur l’intelligence artificielle est proposé comme une solution
efficace pour améliorer les performances dynamiques de la commande DTC. Généralement,
on peut définir que l’application de cette méthode dans ce genre de commande citée dans les
cas suivants :

 Dans les régulateurs à hysistéris du couple et du flux ;


 Dans le régulateur de vitesse (en cas la régulation en boucle fermée) ;
 Dans la table qui représente l’algorithme de commutation de la commande (table de
sélection des vecteurs de tension).

A la lumière de ces approches, on trouve dans l’article [15], [6], les auteurs ont proposés de
développer la commande DTC à modulation vectorielle avec l’intégration de la logique floue
dans les régulateurs à hystérésis du couple et du flux, l’intérêt de ce travail exprimait dans la
réduction dans la distorsion des courants, minimisation des ondulations dans le couple et dans
le flux, amélioration dans le temps de réponse, limitation des problèmes qui sont rencontrés
dans les basses vitesses.

L’intégration de l’intelligence artificielle dans le régulateur de vitesse est une solution


efficace pour améliorer les performances de la commande DTC, nous trouvons dans [3], une
commande de DTC à deux niveaux, avec le remplacement du régulateur de vitesse de PI par
un régulateur neuronal. Dans [4]-[11], ces publications, montraient une proposition de
changer le régulateur de vitesse de type PI par un régulateur flou. Egalement, dans [12], les
auteurs de ce travail, proposaient également d’insérer un régulateur neuro-flou de type ANFIS
au lieu d’un régulateur de vitesse de type PI. Ces méthodes donnaient des résultats satisfaites
représentant des réductions importantes au niveau du couple électromagnétique.

Dans la publication de [14], les auteurs ont proposé d’insertion la modulation vectorielle dans
la technique de DTC, avec une étude comparative entre un régulateur du vitesse de type PID
et neuronal, l’apprentissage de ce dernier est fait en temps réel pour produire le couple de
référence, les résultats de simulation de cet article montrent que l’utilisation de DTC-PID
génère une réponse de vitesse rapide mais avec un dépassement remarquable, cependant, la
DTC-NN offre une réponse lente mais sans aucun dépassement.

Dans les travaux de [6], les éditeurs, proposaient d’utiliser la technique de la logique floue au
niveau des régulateurs PI (du couple et du flux) avec une contribution de la technique SVM,
cette méthode, permet d’obtenir une réduction au niveau du couple électromagnétique et du
flux statorique.

Dans [10],[8] ,[9] les chercheurs ont remplacés la table de vérité de l’état de commutation de
DTC à deux niveaux, par un régulateur flou, ce que permet, d’avoir des réductions
considérables au niveau du couple électromagnétique et le flux statorique.

On trouve dans la thèse de [16], que l’auteur a montré l’effet de la variation de la résistance
statorique à basse et moyenne vitesse, ensuite, il est inséré des différentes techniques
d’intelligence artificielle (la logique floue, les réseaux de neurones, le neuro-flou) dans la
table de commutation de ‘Takahashi’ (utilisation un onduleur à deux niveaux), ces différentes

5
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

stratégies montrent ses efficacités dans l’amélioration dans les réponses du couple et le flux,
ainsi, il montre que chaque technique a des influences dans la manière de projection du flux
statorique dans le plan biphasé (α,β).

Dans la publication de [5], les concepteurs ont suggérés l’insertion d’un contrôleur à l’aide de
la technique de neuro-flou à la place du contrôleur principal classique de la DTC à deux
niveaux, cette nouvelle stratégie été testée et validée par une simulation numérique, elle
permet d’avoir des réductions importantes dans le couple (de 13.3% vers 3.75%) , ainsi , une
réponse améliorée dans le flux statorique, et avoir un enregistrement remarquable dans la
rapidité de temps de réponse des grandeurs mesurés par rapport à la technique
conventionnelle.

Les travaux de recherche dans [17]-[18], présentés une nouvelle application de la technique
de la logique floue dans la table de commutation de DTC adaptée avec un onduleur à multi-
niveaux, les testes de simulations et les validations expérimentaux, montrent des éliminations
importantes dans les oscillions du couple et dans la valeur des distorsions dans les courants et
les tensions obtenus.

Dans même cadre, les auteurs de [19], sont présentés d’une façon détaillée le principe de
fonctionnement d’une commande DTC des machines asynchrone dans le cas d’utilisation des
onduleurs multi-niveaux de type NPC, avant de faire une comparaison entre les performances
de la méthode fondamentale et deux techniques d’intelligence artificielle qui sont représentés
dans la logique floue et les réseaux de neurones artificiels. Les résultats de simulation obtenus
avec des nouvelles stratégies de commande proposée montrent des amortissements satisfaites
dans les réponses du flux statorique et du couple électromagnétique.

L’article [20], propose une commande de DTC à deux niveaux par l’utilisation la technique de
neuro-flou de type ANFIS à la place de la table de commutation afin de générer les vecteurs
tensions de référence à l’aide de technique de modulation vectorielle par l’auto-apprentissage,
ainsi, des adaptations et optimisations des gains du régulateur PI par annulation le régulateur
PI classique et le remplacer par un régulateur PI avec des gains variables selon un algorithme
donné. L’effet de cette proposition est résumé dans les points suivants, avoir des fréquences
de commutation constantes, dégrader l’amplitude de dépassement de la vitesse, avoir
directement des valeurs nominales des courants statoriques dans le régime transitoire, une
hausse robustesse contres les grandes charges ajoutées.

A l’issue de cette synthèse, notre étude sera consacrée sur la commande directe du couple
d’un onduleur à multi-niveaux appliquée sur un moteur asynchrone. Dans ce cadre, les
travaux proposés dans cette thèse sont directement orientés vers l'application des techniques
intelligentes afin de pallier à certains aspects de la commande DTC, le premier aspect, auquel
on a investigué consiste à limiter en partie la forte ondulation au niveau des grandeurs couple
électromagnétique et flux statorique, et l’autre, pour réguler la fréquence de commutation.
Pour ce faire, Le travail proposé est de remplacer la table de commutation de la commande
DTC à multi-niveaux par des contrôleurs intelligents, on utilisant:

6
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

 Les réseaux de neurones;


 La logique floue;
 Les systèmes neuro-flous;
 Les systèmes neuro-flous associés avec la technique de SVM.

Les performances de la commande DTC à multi-niveaux avec ces contrôleurs proposés ont
été testées dans l’environnement de logiciel Matlab-Simulink.

I.3 Conclusion:

Dans ce chapitre, on a présenté l’état de l’art d’une nouvelle commande vectorielle destinée
dans ces dernières décennies au contrôle des moteurs asynchrones. On a précisé également la
problématique de cette recherche, et les grandes lignes de nos objectifs.

Au cours de cette étude bibliographique, nous avons exploré quelques travaux et des
contributions escomptées pour améliorer les performances de la commande directe du couple
du moteur à induction. Cette projection de la lumière dans ces études jugée clairement
l’intérêt progressif de cette technique de commande dans le monde d’électrotechnique.

7
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

Bibliographie
[1] S.H.Kim,T.S.Park,J.Y.Yoo,G.T.Park,"Speed-sensorless vector control of an induction
motor using neural network speed estimation", IEEE Transactions on Industrial
Electronics, vol. 48, no. 3, pp. 609 – 614, June 2001.
[2] J.Ghouili,A.Chériti,"Estimation Robuste de Vitesse d’une Machine Asynchrone à
L’aide de Réseau de Neurones Dynamiques", Proceedings of IEEE Canadian
Conference on Electrical and Computer Engineering Shaw Conference Center,
Edmonton, Alberta, pp. 1086–1091, May 9-12,1999.
[3] Y.S.Raon,Dr.A.J.Laxmi,“Comparaison of the Performance of DTC of Induction
Generator in Wind Energy Conversion System with PI and Neural Controllers”,
Conference on Power and Energy Systems, Published by Elsevier , AARSI procedia 2
(2012) , 275-281.
[4] Y.Gao,J.Wang1,X.Qiu,"The Improvement of DTC System Performance on Fuzzy
Control", 2011 3rd International Conference on Environmental Science and
Information Application Technology (ESIAT 2011), Published by Elsevier Procedia,
Procedia Environmental Sciences 10 ( 2011 ) 589 – 594.
[5] G.F.Areed,A.Y.Haikal,R.H.Mohammed,“Adaptive neuro-fuzzy control of an
induction motor” ,Ain Shams Engineering Journal (2010) 1, 71–78, Production and
hosting by Elsevier.
[6] S.G.Malla,J.M.R.Malla,“Direct Torque Control of Induction Motor with Fuzzy
Controller”, International Journal of Emerging Trends in Electrical and Electronics
(IJETEE – ISSN: 2320-9569) Vol. 10, Issue. 3, April-2014.
[7] R.Dharmaprakash,J.Henry,”Direct Torque Control Of Induction Motor Using
Multilevel Inverter”, International Journal of Latest Research in Science and
Technology ISSN (Online):2278-5299 Volume 3, Issue 3: Page No. 70-75, May-June
2014.
[8] S.Mathew,B.K.Mathew,“Direct Torque Control of Induction Motor Using Fuzzy
Logic Controller”, International Journal of Advanced Research in Electrical,
Electronics and Instrumentation Engineering (An ISO 3297: 2007 Certified
Organization), Vol. 2, Special Issue 1, December 2013.
[9] P.R.Tripathy, B.P.Panigrahi.“Simulation Studies on Switching Table based DTC and
Fuzzy Rule based DTC for Three-Phase Squirrel Cage Induction Motor”, ETASR -
Engineering, Technology & Applied Science Research Vol. 2,N° 1, 2012, 162-166.
[10] D.C.Sekhar,G.V.Marutheshwar, “Modeling And Direct Torque Control Of Induction
Motor By Using Hybrid Control Technique”, Electrical and Electronics Engineering:
An International Journal (ELELIJ) Vol 3, No 2, May 2014.
[11] C.Vignesh,S.S.Sheela,R.Balachandar,"Performance Enhancement of Direct Torque
Control of Induction Motor Using Fuzzy Logic Controllerz", International Journal of
Innovative Research in Science, Engineering and Technology, Volume 3, Special
Issue 1, February 2014.
[12] R.R.Kumar,A.G.Rajesh,S.Surjuse,"Adaptive Neuro-Fuzzy Speed Controller for
Vector Controlled Induction Motor Drive", Asian Power Electronics Journal, Vol. 3,
No. 1, Sept 2009.
[13] R.Chacko,S.S.Beevi,DrA.A.Dutt,"Improved DTC using Three-level Inverter topology
for Wind Power Applications".International Journal of Emerging Technology and
Advanced Engineering Website: www.ijetae.com (ISSN 2250-2459, ISO 9001:2008
Certified Journal, Volume 3, Issue 12, December 2013.

8
Chapitre I : Etat de l’Art de La Commande DTC de la Machine Asynchrone

[14] W.M.Utomo et al, “An Improved DTC of an Induction Motor Drive with Neural
Network Controller” International Journal of Mechanical & Mechatronics
Engineering IJMME-IJENS Vol:14 No:02 , April 2014 ,IJENS.
[15] L.Youb,A.Craciunescu,“Direct Torque Control of Induction Motors with Fuzzy
Minimization Torque Ripple”. Proceedings of the World Congress on Engineering
and Computer Science 2009 Vol II WCECS 2009, October 20-22, 2009, San
Francisco, USA.
[16] R.Toufouti,"Contribution A La Commande Directe de Couple De La Machine
Asynchrone", Thèse Doctorant en Sciences, 2008, Université de Constantine.
[17] S.X.Liu,M.Y.Wang,Y.G.Chen,S.Li ,"A Novel Fuzzy Direct Torque Control System
for Three-level Inverter-fed Induction Machine", International Journal of Automation
and Computing 7(1), February 2010, 78-85 DOI: 10.1007/s11633-010-0078-7.
[18] R.Zaimeddine,E.M.Berkouk,"Feedback of the Input Voltage in FDTC Control Using
a Three-Level NPC-VSI", American Journal of Applied Sciences 4 (7): 417-425,
2007, ISSN 1546-9239, 2007 Science Publications.
[19] F.Kadri,S.Drid,F.Djeffal,"Direct Torque Control Of Induction Motor Fed By Three-
Level NPC Inverter Using Fuzzy Logic And Neural Network".
[20] A.Miloudi,A.Draou,"Variable Gain PI Speed Control Of A Direct Torque Neuro
Fuzzy Controlled Induction Motor Drive".
[21] Y.Pan,Y.Zhang,Z.Wang,"A Novel Variable Domain Adaptive Fuzzy Control of Direct
Torque Control for Induction Motor Based on Space Vector Control". Seventh
International Conference on Fuzzy Systems and Knowledge Discovery (FSKD 2010).
[22] C.A.Martins,X.Roboam,T.A.Meynard,A.S.Carvalho,"Switching frequency imposition
and ripple reduction in DTC drives by using a multilevel converter", IEEE
Transactions on Power Electronics, vol. 17, no. 2, pp. 286 – 297, March 2002.
[23] J.H.Pujar,S.F.Kodad,"Robust Sensorless Speed Control of Induction Motor with
DTFC and Fuzzy Speed Regulator". International Journal of Electrical and
Electronics Engineering 5:1, 2011.
[24] D.Casadei,G.Serra,A.Tani,"Implementation of a Direct Control Algorithm for
Induction Motors Based on Discrete Space Vector Modulation", IEEE Transactions
on Power Electronics, Vol. 15, No. 4, on page(s): 769-777, July 2000.
[25] H.R.Keyhani,M.R.Zolghadri,A.Homaifar,"An extended and improved discrete space
vector modulation direct torque control for induction motors", Power Electronics
Specialists Conference, 2004. PESC 04. 2004 IEEE 35th Annual, on page(s): 3414-
3420 Vol. 5. 20-25 June 2004.
[26] X.D.T.Garcia,A.Arias,M.G.Jayne,P.A.Witting,‘Direct Torque Control Of Induction
Motors Utilizing Three-Level, Voltage Source Inverters’,IEEE Transactions On
Industrial -Electronics, Vol. 55, No. 2, February 2008.

9
Chapitre II:

Modélisation De L’association
Convertisseur - Machine Asynchrone
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.1 Introduction :

La machine asynchrone a connu un succès croissant depuis plusieurs décennies en remplaçant


progressivement les machines à courant continu et synchrone dans de nombreuses
applications industrielles et quotidiennes.

Ce succès s’explique par une conception robuste réduisant les frais de maintenance et son
cout de conception relativement moindre par rapport aux autres machines électriques.
Il s’explique également par l’augmentation continue de la puissance de calcul des
microprocesseurs permettant de contrôler en temps réel la machine asynchrone. En effet, le
contrôle de cette machine s’avère difficile à cause de sa complexité fonctionnelle qui
transparait évidemment dans les modèles utilisés pour concevoir les stratégies de
commande,[1] de telle sorte l’absence de découplage naturel entre l’inducteur et l’induit
donne au moteur asynchrone un modèle dynamique non linéaire qui est à l’opposé de la
simplicité de sa structure et de ce fait sa commande pose un problème théorique pour les
automaticiens [2]. Ainsi la difficulté est de mesurer certaines grandeurs fondamentales telles
que le flux magnétique et le couple électromagnétique.

L'objectif de ce chapitre est de présenter mathématiquement, d'un point de vue de


l’automaticien, une modélisation de la machine asynchrone sous forme de différents modèles
d'état selon le choix du repère, le vecteur d'état et les entrées-sorties possibles du moteur.
Généralement, ces modèles sont définis dans un référentiel diphasé, soit tournant (d, q), soit
fixe au stator (α,β). Ces référentiels sont définis à partir du référentiel triphasé naturel de la
machine asynchrone à l'aide des transformations mathématiques adaptées.

II.2 Description de la machine asynchrone :

La machine asynchrone triphasée qui est indiquée sur la figure (II.1), comporte une partie fixe
dite stator, constituée par un empilage de tôles à faible taux de perte, supportant des bobinages
symétriques triphasés alimentés en courant alternatif triphasé. Une partie mobile, dite rotor,
n'est pas alimentée, elle est en court-circuit et elle peut être de deux sortes :

 Bobinée (à bagues) munie d'un enroulement généralement triphasé connecté à des


bagues sur lesquelles frottent des balais.
 À cage d'écureuil, formée d'un ensemble de bagues conductrices reliées entre elles à
chaque extrémité par des anneaux circulaires.

10
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Figure II.1 : Moteur asynchrone triphasé.

II.3 Hypothèses simplificatrices :

La modélisation (les modèles de Park) de la machine asynchrone s'appuie sur les hypothèses
classiques suivantes:

 Symétrie parfaite de construction;


 Les armatures magnétiques du stator et du rotor sont toutes deux cylindriques
concentriques, séparées par un entrefer constant et munies chacune d'un enroulement
triphasé ;
 Répartition sinusoïdale, le long de l'entrefer, des champs magnétiques statorique
et rotoriques ;
 Le circuit magnétique est non saturé et à perméabilité magnétique constante;
 Les pertes ferromagnétiques, l'effet de peau et l'effet des encoches ne sont pas pris en
considération.

Dans ces conditions, les équations des circuits électriques font intervenir des inductances
propres et mutuelles permettant de définir les flux en fonction des courants. Les coefficients
des inductances propres sont constants et les coefficients des inductances mutuelles ne
dépendent que de la position des enroulements. On obtient ainsi un modèle réduit moins
complexe, mais assez représentatif pour décrire le comportement dynamique et statique de la
machine, et pour élaborer des stratégies appropriées pour la commande, l'observation et le
diagnostic. La machine standard possède 6 enroulements (3 au stator et 3 au rotor) couplés
magnétiquement.

11
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.4 Modélisation dans le repère triphasé:

Soit une machine asynchrone triphasée au stator et au rotor représentée schématiquement sur
la figure (II.2), dont les phases statoriques et rotoriques sont repérées respectivement par
(as, bs, cs) et (ar, br, cr). Les phases rotoriques sont court-circuitées. Le nombre de paires de
pôles est égal à [p] et on note que :

L’angle mécanique (θ) définit la position relative instantanée entre les axes magnétiques des
phases (as) et (ar) choisis comme axes de référence. Les expressions générales des tensions du
moteur asynchrone s’obtiennent en écrivant que la tension appliquée à chacun des
enroulements est la somme de la chute de tension ohmique et de la chute de tension inductive
due au flux total qui le traverse[1], [2].

Figure II.2 : Représentation schématique d’une machine asynchrone triphasée

II.4.1 Équations électriques :

Par l’application de la loi de Faraday à chaque enroulement de la machine représentée par


la figure (II.2), on obtient :

Au Stator :

=[ ][ ] + [ ] (II.1)

Au Rotor:

= =[ ][ ] + [ ] (II.2)

Où :

=[ ],[ ] = [ ],[ ]=[ ],[ ]=[ ] (II.3)

12
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

=[ ] = [ ],[ ] = [ ], [ ]=[ ], [ ]=[ ] (II.4)

La matrice des résistances est de matrice simple et elle est diagonale puisqu'elle n'a pas de
composantes non nulles que sur la première diagonale.

II.4.2 Équations magnétiques :

Les hypothèses que nous avons présentées, conduisent à des relations linéaires entre les
flux et les courants. Elles sont exprimées sous forme matricielle comme suit :

Ѱ [ ] [ ]
[ ]=[ ][ ] (II.5)
Ѱ [ ] [ ]
Où :

[ ]=[ ] (II.6)

[ ]=[ ] (II.7)

𝜋 𝜋
cos 𝜃 cos 𝜃 + cos 𝜃 −
𝜋 𝜋
[ ]=[ ] = cos 𝜃 − cos 𝜃 cos 𝜃 + (II.8)
𝜋 𝜋
[cos 𝜃 + cos 𝜃 − cos 𝜃 ]

Avec :

: Matrice des inductances statoriques;


: Matrice des inductances rotoriques;
: Inductance propre d’une phase statorique ;
: Inductance propre d’une phase rotorique ;
: Inductance mutuelle entre phases statoriques ;
: Inductance mutuelle entre phases rotoriques ;
: Maximum de l’inductance mutuelle entre une phase du stator et une phase du rotor ;
il est obtenu lorsque les axes sont alignés.
𝜃 : Désigne l'angle électrique entre une phase du rotor et la phase correspondante du stator.

En remplaçant (II .5) dans (II.1) et puis dans (II.2), on obtient finalement le système suivant :

=[ ][ ] + [ ] [ ] + {[ ][ ]}
{ (II.9)
=[ ][ ] + [ ] [ ] + {[ ][ ]}

13
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.4.3 Équation mécanique:

L’étude des caractéristiques de la machine asynchrone fait introduire la variation non


seulement des paramètres électriques (tension, courant, flux) mais aussi des paramètres
mécaniques (couple, vitesse).

− = Ω+ Ω (II.10)

Le couple électromagnétique est donné par :

= [ ] [ ][ ] (II.11)

Avec :
: Moment d’inertie du rotor.
: Coefficient de frottement visqueux.
: Couple électromagnétique.
: Couple résistant.
: Nombre de paire de pôle.

On obtient ainsi la vitesse en appliquant la transformée de Laplace :


𝐶 −𝐶
Ω = (II.12)
+

Où S représente la variable de Laplace, cette équation montre que le contrôle de la vitesse


passe par le contrôle du couple.

Remarque :

La modélisation triphasée présente un inconvénient majeur car la matrice [ ] dépend de


l’angle de rotation mécanique et nécessite donc d’être recalculée à chaque pas
d’échantillonnage.

II.5 Transformation du système triphasée :

La transformation triphasée-biphasée aboutit à une famille de modèles de la machine asynchrone


où les grandeurs statoriques et rotoriques sont projetées sur deux axes en quadrature.
L'idée de cette transformation repose sur le fait qu'un champ tournant créé par un système
triphasé équilibré peut l’être aussi, à l'identique, par un système biphasée de deux bobines
𝜋 𝜋
décalées de dans l'espace, alimentée par des courants déphasés de dans le temps.
On se donne pour objectif que les forces magnétomotrices et la puissance instantanée soient
conservées.

Les équations (II.6) et (II.7) de la matrice des inductances mutuelles étant à éléments non
constants et les coefficients des équations (II.9) sont variables et la résolution analytique de ce
système d’équations se heurte à des difficultés insurmontables particulièrement, lors de

14
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

l’étude des phénomènes transitoires. Pour cela, on utilise alors des transformations
mathématiques qui permettent de décrire le comportement de la machine à l’aide d’équations
différentielles à coefficients constants. Donc l’étude analytique du comportement du système
est alors relativement difficile, vu le grand nombre de variable. On utilise alors des
transformations qui permettent de décrire le comportement de la machine à l’aide d’équations
différentielles à coefficients constants.

Les transformations utilisées doivent conserver la puissance instantanée et la réciprocité des


inductances mutuelles. Ceci permet d’établir une expression du couple électromagnétique
dans le repère correspondant au système transformé [7].

II.5.1 Transformation de Park (repère (d,q)):

Pour passer du système triphasé abc, au système biphasé dq, il faut utiliser les
transformations de Park :

[ ] = [𝑃]. [ ] et [ ] = [𝑃]− . [ ] (II.13)


ℎ ℎ

Où :
, , sont les valeurs instantanées des grandeurs triphasées ;
, sont les valeurs instantanées des grandeurs biphasé ;
ℎ est la composante homopolaire ;
[𝑃] est la matrice de Park ;
[𝑃]− est la matrice inverse de Park .

Les matrices de Park sont données par :


𝜋 𝜋
cos 𝜃 cos 𝜃 − cos 𝜃 −
𝜋 𝜋
[𝑃] = √ . − sin 𝜃 −sin 𝜃 − −sin 𝜃 − (II.14)

[ √ √ √ ]
et

cos 𝜃 − sin 𝜃

𝜋 𝜋
[𝑃]− = √ . cos 𝜃 − −sin 𝜃 − (II.15)

𝜋 𝜋
[cos 𝜃 − −sin 𝜃 −
√ ]

Où 𝜃 est l’angle entre l’axe d et l’axe de référence dans le système triphasé (as pour les
grandeurs statoriques et ar pour les grandeurs rotoriques).

15
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.5.2 Transformation de Concordia (repère( , )):

Si on pose θ = 0 dans les équations précédentes, les matrices de Park deviennent les
matrices de Concordia :

− −

√ −√
[ ]=√ (II.16)

[√ √ √ ]
et


− √
[ ]− = √ (II.17)

− −√
[ √ ]

Les transformations de Concordia sont utilisées pour faire le passage entre le système triphasé
abc en un système fictif, αβ.

Les transformations de Concordia sont données par ces relations :

[ ] = [ ]. [ ] et [ ] = [ ]− . [ ] (II.18)
ℎ ℎ

Ces transformations sont utilisables dans le contrôle direct du couple (DTC). [21], [22], [23].

II.6 Choix de repère :

L’isotropie du moteur asynchrone permet une souplesse dans la composition des équations de
la machine selon deux axes à l’aide des composantes de Park, cela nécessite l’utilisation d’un
repère qui permet de simplifier au maximum les expressions analytiques. Il existe différentes
possibilités pour le choix du repère d’axes, se ramène pratiquement à trois référentiels
(systèmes biphasés) orthogonaux : [14]

 Référentiel immobile par rapport au stator : ,  =


 Référentiel immobile par rapport au rotor : ,  =
 Référentiel immobile par rapport au champ tournant : ,  =

Où : Vitesse angulaire de rotation du système d’axes biphasé par rapport au système d’axes
triphasé.

16
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.6.1 Référentiel lié au stator (α, β) :


Les équations de la machine asynchrone dans le repère (α, β) lié au stator prennent la
forme suivante:
= +
= +
(II.19)
= = + +

{ = = + −
Ce référentiel possède des tensions et des courants réels et peut être utilisé pour étudier les
régimes de démarrage et de freinage des machines à courant alternatif.

II.6.2 Référentiel lié au rotor (x, y) :


Il se traduit par les équations suivants :
= + −
= + +
(II.20)
= = +

{ = = +
Ce système est utilisé pour étudier les processus transitoires dans les machines synchrones
et asynchrones.

II.6.3 Référentiel lié au champ tournant (d, q) :


Les équations de la machine asynchrone dans le repère (d, q) lié au champ tournant
prennent la forme suivante:
= + −
= + + (II.21)
= = − −
{ = = + −

L'avantage d'utiliser ce référentiel est d'avoir des grandeurs constantes en régime permanent.
Il est alors plus facile de faire la régulation de ces grandeurs [4].
Ce référentiel est le seul qui n’introduit pas de simplification dans la formulation des
équations. Il fait correspondre des grandeurs continues aux grandeurs sinusoïdales en régime
permanent, raison pour laquelle ce référentiel est utilisé pour la commande des machines
asynchrone.

17
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.7 Modélisation de la machine asynchrone par la représentation d'état :

Un système est un ensemble composé des parties séparables, mais liées entre elles d’une
manière souvent très complexe. Dans les littératures ordinaires, une représentation d’état
permet de modéliser un système dynamique sous forme matricielle en utilisant des variables
d’état.

D’une manière générale, la représentation d’état est en fonction du système à étudier, elle peut
être linéaire ou non-linéaire, ou même elle est utilisable dans le régime continu ou discret.

II.7.1 Le système d’équations d’état :

La sélection d’une représentation d’état dépend de sa modélisation, car les variables d’état, la
mesure et la commande sont choisis selon la grandeur physique souhaitée de traiter.

La figure II.3 illustre le schéma synoptique de cette présentation.

Figure II.3: Synoptique de représentation d’état.

Avec :

x : Vecteur d’état de dimension n (n variables d’état).


y : Vecteur de sortie ou de mesure de dimension m.
u : Vecteur des entrées ou de commande de dimension k.
A : Matrice d’évolution ou d’état de dimension n x n.
B : Matrice de l’application de commande de dimension n x k.
C : Matrice d’observation de dimension m x n.

Dans le cas d’une machine asynchrone, la représentation d’état est selon les critères suivants :

 Mode d’alimentation (en courant ou en tension),


 Différents modèles de la machines (choix de la présentation d’état),
 Le choix du système d’axes.

18
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Le tableau II.1 présent quelques représentations d’états pour la machine asynchrone alimentée
en tension [5] avec référentiel lié au champ tournant (d, q).

Model I (II.22)
−𝜎 −𝜎 −𝜎
− 𝜎
+ 𝜎 𝜎 𝜎
𝑚 𝑚
−𝜎 −𝜎 −𝜎
− + −
𝜎 𝜎 𝑚 𝜎 𝑚 𝜎
= =
ѱ 𝑚 −

[ѱ ]

𝑚

[ ]
𝜎
𝑚
=[ ] = 𝜎 = ѱ −ѱ

[ ]
Model II (II.23)
𝑚
− 𝜎 𝜎
ѱ 𝑚
ѱ − − 𝜎 𝜎
= =
ѱ 𝑚 −
𝜎
[ѱ ] 𝜎

𝑚

[ 𝜎 𝜎 ]

𝑚
=[ ] =[ ] =𝜎 ѱ ѱ −ѱ ѱ

Model III (II.24)



ѱ − −
ѱ −
= = 𝑚
+
𝜎 𝜎 𝜎
[ ] −
𝑚
− +
[− 𝜎 𝜎 𝜎 ]

=[ ] = 𝜎
= ѱ −ѱ

[ 𝜎 ]
Tableau II.1 : Modélisation sous forme d’état d’une machine
asynchrone alimentée en tension.

19
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.8 Modélisation de l'alimentation de la MAS a vitesse variable :

Le variateur de vitesse est un dispositif électronique destiné à régler la vitesse et le couple


d'un moteur électrique à courant alternatif en faisant varier la fréquence et la tension,
respectivement le courant délivrés à la sortie de ce dernier.

Les progrès de l'électronique de puissance ont permis de réduire le coût et la taille des
variateurs de vitesse dans ces quatre dernières décennies. Ils sont concerné à la fois aux
interrupteurs semi-conducteurs utilisés, la topologie, les méthodes utilisées en contrôle
commande et en simulation, ainsi que le matériel et les logiciels employés pour la commande,
[7].

Le MAS est généralement commandé en agissant sur la fréquence de son alimentation à


travers un onduleur de tension. L'implantation de la commande nécessite la modélisation
complète de tout le système, que ce soit la partie à commander ou celle de la commande. On
va présenter dans ce qui suit la modélisation de l'alimentation du MAS qui est constituée d'un
redresseur triphasé à diodes, d'un filtre passe bas et d'un onduleur de tension à deux
niveaux,[9],[10], [11], [12].

II.8.1 Système d’alimentation de la MAS :

Les machines électriques alimentées par des convertisseurs statiques, dont le schéma de
principe est représenté par la Figure (II.4), sont utilisées comme des actionneurs rotatifs dans
beaucoup d’équipements industriels à vitesse variable.

Figure II.4: Schéma global d'un MAS à vitesse variable avec son alimentation.

Les caractéristiques exigées de l’actionneur électrique dépendent à la fois de la machine, de


son alimentation et de la commande de l’ensemble. Ces caractéristiques sont [8]:

 Un couple avec le minimum d’ondulations possibles, contrôlable par le plus petit


nombre de variable en régime dynamique comme en régime permanent.
 Une large plage de variation de vitesse.
 Des constantes de temps électrique et mécanique faibles.
 La source d’alimentation triphasée est supposée symétrique, de fréquence et
d’amplitude de tension constante.

20
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.8.1.1 Modélisation du redresseur triphasé double alternance à diodes :

Le circuit non contrôlé de base du redresseur (à diodes) à double alternance est montré
dans la figure II.6, ce redresseur est connu aussi sous le nom de pont de Graetz. Les diodes
D1, D3,et D5 désigné parfois sous le nom de demi pont supérieur, elles ont une cathode
commune, chacune de ces diodes conduit lorsque le potentiel de ses anodes est le plus positif,
tandis que les diodes D2, D4, et D6 constituent demi pont inferieur, elles ont une anode
commune, chacune de ces diodes conduit lorsque le potentiel de ses cathodes est le plus
négatif [13].

Figure II.5: Représentation du redresseur triphasé à double alternance à diode.

Le pont double alternance a l'avantage que l’amplitude de la tension redressée est supérieure
plus de √ fois que la tension alternative [13].

Si on suppose que le redresseur est alimenté par un réseau de tension triphasé équilibré:

= 𝜋
π
{ t = sin 𝜋f t – (II.25)
π
t = sin 𝜋f t –

Et si on néglige l’effet d’empiétement, la tension de sortie du redresseur sera définie comme


suit :
= [ , , ]− [ , , ] (II.26)

Cette tension redressée est représentée dans la figure II.6. Elle est assez ondulée, ce qui
nécessite une opération de filtrage.

600 1ere Phase (R)


2eme Phase (S)
500 3eme Phase (T)
La tension redressée
400

300
Tension [V]

200

100

-100

-200

-300
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 0.045 0.05
Temps [s]
Figure II.6: Représentation de la tension redressée.

21
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.8.1.2 Modélisation du Filtre passe bas :

Afin de réduire le taux d'ondulation de cette tension redressée, on utilise un filtre passe-
bas (LC) qui illustre en figure II.7.

Figure II.7: Représentation de Filtre passe-bas.

Ce filtre est caractérisé par les équations différentielles suivantes [14]:

= +
{ (II.27)
=𝐶 −

Pour dimensionner le filtre, les paramètres sont choisis tel que sa pulsation de résonance est
nettement supérieure à la pulsation d’utilisation, afin de minimiser l’influence des
harmoniques d’ordre supérieur provoqués par l’onduleur : >

D’où la condition au choix de et : √ < (II.28)

 Le rôle de la capacité Cf est d’assurer le caractère de la source de tension à l’entrée de


l’onduleur, fournir de l’énergie réactive à la machine, et finalement, absorber le courant
négatif restitué par la charge.
 Le rôle de l’inductance Lf est de lisser le courant Id à travers la source de tension.
 La fréquence de coupure égale à : [15]
fc = (II.29)
π(√ )
Cette tension Filtrée est représentée dans la figure II.8

500
Tension redressée avant le Filtrage
Tension redressée aprés le Filtrage
400

300
Tension [V]

400
380
200
360
340
320
100
0.05 0.055 0.06 0.065 0.07 0.075

0
0 0.05 0.1 0.15
Temps [s]

Figure II.8 Représentation de la tension Filtrée.

22
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.8.1.3 Onduleur De Tension :

L’onduleur de tension est un convertisseur statique d’énergie électrique qui transforme


une source de tension continue en une alimentation de tension alternative pour alimenter des
charges en courant alternatif.

La puissance maximale transmise reste à déterminer par les caractéristiques propres de la


machine. [6]

La figure II.9 représente un onduleur de tension triphasé, il est constitué de trois bras, chaque
bras est formé de deux interrupteurs commandés de manière complémentaires afin d’éviter un
court-circuit de la source et d’assurer une continuité du courant de la charge [16].

Chaque interrupteur est formé d’un semi-conducteur contrôlable (IGBT, GTO,…..etc.) et une
diode connectée en anti-parallèle, les six diodes de roue libre assurent la protection des
transistors et la récupération de l’énergie vers la source.

Pour simplifier l’étude, on supposera que:

 la commutation des interrupteurs est instantanée,


 la chute de tension aux bornes des interrupteurs est négligeable,
 la charge est équilibrée liée en étoile avec neutre isolé.

Figure II.9: Représentation d’un onduleur à deux niveaux avec sa charge.

Les tensions de sortie aux bornes de l’onduleur sont référencées par rapport au point fictif 0
de la source de l’onduleur, ils ont pour expression :
𝐸
é, = , ,
V − = {−𝐸 (II.30)

é, = , ,

Avec E représente la tension continue.


D’où, on peut écrire :
= V −V − V −V
{ = V −V − V −V (II.31)
= V −V − V −V

23
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Les tensions ax bornes de l’onduleur peuvent s’écrire :


V =V −V
{V =V −V (II.32)
V =V −V
Sachant que :
V +V +V = (II.33)
On peut écrire :
V =V −V
{V =V −V (II.34)
V =V −V
Alors les tensions simples aux bornes de charge peuvent s’écrire :
V =+ V − V − V
V =− V + V − V (II.35)
V =− V − V + V
{
Alors, l’onduleur de tension peut être modalisé par une matrice [T] assurant le passage
continu-alternatif :
[VAC] = [T][Vdc] (II.36)
Tel que :
[VAC]=[VAN VBN VCN]T
[Vdc]=[VA0 VB0VC0]T
[Vdc]= Udc [S1 S2 S3]T

Donc, pour chaque bras, il y’a deux états indépendants. Ces deux états peuvent être considérés
comme des grandeurs booléennes et la commutation supposée idéale :Si(i=1 ou 0) {i=1, 2, 3}
La matrice de transfert est la suivante :
− −

− −
[ ]= (II.37)
− −
[ ]

24
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.9 La commande MLI :

La commande souvent adaptée aux convertisseurs statiques est la stratégie MLI. Plusieurs
méthodes ont été développées avec l’objectif de générer à la sortie de l’onduleur une tension
sinusoïdale ayant le moins d’harmoniques possibles.

En pratique, il y’a plusieurs types de MLI qui peuvent être considérés, dont on peut citer :
[6], [17].

 Les modulations Sinus-triangle effectuant la comparaison d’un signal de référence


sinusoïdal à une porteuse en général triangulaire.
 Les modulations pré calculées pour lesquelles les angles de commutations sont
calculées hors ligne pour annuler certaines composantes du spectre de la tension, et
donner une certaine onde fondamentale.
 Les modulations post calculées appelées encore MLI régulières symétriques ou MLI
vectorielle (SVM : Space Vector Modulation) dans lesquelles les angles de
commutation sont calculés en ligne.

En raison de l’efficacité de notre système de commande, nous utiliserons la technique de la


modulation vectorielle(SVM) pour piloter l’onduleur à deux niveaux.

II.9.1 MLI vectorielle (SVM):

La stratégie de la modulation vectorielle est proposée par « Der Broek & al, 1988 ». Elle
consiste à générer une séquence spécifiée des états de l’onduleur. La modulation vectorielle
est une modulation en temps réel. Elle utilise le fait qu’un vecteur peut représenter les trois
tensions d’un système triphasé de somme nulle.

Cette modulation est utilisée dans les commandes modernes des machines à courant alternatif,
les tensions de référence sont les tensions désirées à la sortie de l’onduleur. Cette technique à
MLI est basée sur les points suivants. [18]:

 Le signal de référence est échantillonné sur des intervalles T (MLI régulière).


 Pour chaque phase, on envoie une impulsion de largeur centrée sur la période (MLI
symétrique) dont la valeur moyenne est égale à la valeur de la tension de référence à
l’instant d’échantillonnage.
 Tous les interrupteurs d’un même demi-pont ont un état identique au centre et aux deux
extrémités de la période, [19], [20].

Le principe de cette méthode est la détermination des portions de temps (durée de


modulation) qui doivent être allouées à chaque vecteur de tension durant la période
d’échantillonnage. Cette commande rapprochée (SVM) permet de déterminer les séquences
des allumages et des extinctions des composants du convertisseur et de minimiser les
harmoniques des tensions appliquées au moteur.

25
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

La technique de modulation vectorielle (SVM) consiste à reconstituer le vecteur tension de


référence ⃗ pendant une période d’échantillonnage par les vecteurs tensions adjacentes
⃗ , ⃗ + , ⃗ , ⃗ , (i = 0,1,...7) correspondant aux huit états possibles de l’onduleur.

Le tableau suivant illustre la représentation des huit vecteurs de l’onduleur à deux niveaux.

Vecteur K1 K2 K3 Vsa Vsb Vsc Vsα Vsβ ̅̅̅𝒊


𝑽
V0 0 0 0 0 0 0 0 0 0

V1 1 0 0 ⁄ − ⁄ − ⁄ √ 0 √

𝜋
V2 1 1 0 ⁄ ⁄ − ⁄ √ √ √
6

𝜋
0 1 0 − ⁄ ⁄ − ⁄
V3 −√ √ √
6

V4 0 1 1 − ⁄ ⁄ ⁄ −√ 0 −√

𝜋
0 0 1 − ⁄ − ⁄ − ⁄
V5 −√ −√ √
6

𝜋
1 0 1 ⁄ − ⁄ ⁄ √ √
V6 −√
6
V7 1 1 1 0 0 0 0 0 0
Tableau II.2: Table de vérité de l’onduleur de tension à deux niveaux.
Avec :

= + (II.38)

| | = √( ) +( ) (II.39)

II.9.1.1 Calcul des temps d’applications des vecteurs :

Le vecteur tension de contrôle ⃗⃗⃗⃗⃗⃗⃗ est approché, sur la période de modulation TMLI, par
un vecteur de tension moyen < ⃗ > élaboré par application des vecteurs d’état de l’onduleur
⃗⃗⃗⃗ et ⃗⃗⃗⃗⃗⃗⃗⃗⃗
+ adjacents pendant les temps TK , TK+1 respectivement et des vecteurs nuls
⃗⃗⃗
pendant (1-k0).T0 et ⃗⃗⃗ pendant k0 .T0 (Figure II.10).
⃗⃗⃗ ⃗ ⃗ ⃗ ⃗ ⃗ ⃗ ⃗

(1-k0) T0 Timpair Tpair k0T0 k0T0 Tpair Timpair (1-k0) T0

TMLI / 2 TMLI / 2

Figure II.10: Principe d’élaboration de la MLI vectorielle

26
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Avec :
−k T k T
Tk
(⃗⃗⃗⃗⃗⃗⃗ )n = {∫ ⃗⃗⃗⃗k dt + ∫Tk+ ⃗⃗⃗⃗⃗⃗⃗⃗
V Vk+ dt + ∫ ⃗⃗⃗⃗
V dt + ∫ ⃗⃗⃗⃗
V dt} (II.40)
T I

⃗⃗⃗ = ⃗⃗⃗ = ⃗ (II.41)

(⃗⃗⃗⃗⃗⃗⃗ )n = < ⃗ >= T {Tk ⃗⃗⃗⃗


Vk + Tk+ ⃗⃗⃗⃗⃗⃗⃗⃗
Vk+ } (II.42)

T =T I − Tk − Tk+ (II.43)
T0 est le temps d’application des vecteurs nuls. M
2
⃗⃗⃗

β
α
A
30°
𝑽𝒔

30° 60°- θ

𝑻 ⃗⃗⃗⃗
𝑽⁄
θ 𝑻 M1
M3
𝑽𝒔 ⃗⃗⃗⃗ ⁄
𝑻 𝑽 α
𝑻
Figure II.11: Calcule des temps de commutation T1 et T2 du premier secteur.

La figure (II.11) représente le cas où le ⃗⃗⃗⃗⃗⃗⃗ se trouve dans le secteur 1, le vecteur de sortie ⃗⃗⃗
occupera les trois positions M1, M2, M3 qui entourent Vref avec des durées respectives T1, T2,
T3 de façon que la position moyenne coïncide avec l’extrémité de ⃗⃗⃗⃗⃗⃗⃗ , avec leur temps
d’application des vecteurs adjacents ⃗⃗⃗ et ⃗⃗⃗ est donné comme suit : [24], [25], [26].
+
∫ = ∫ +∫ +∫ +
(II.44)

=( + ) (II.45)

= + (II.46)

Avec :
Te = T1+T2+T0 (II.47)
A partir de la figure (II.11), le calcul de la valeur A, peut être calculée à deux manières qui
nous permet de déterminer les périodes T1, T2, donc on a :
𝜋 𝜋
= cos = sin −𝜃 (II.48)
𝜋
si −𝜃 𝜋
D’où = 𝜋 = sin −𝜃 (II.49)
c s √ 𝐸
6

Qui peut s’écrire sous la forme :


𝜋 𝜋
= sin . cos 𝜃 − cos . sin 𝜃 (II.50)
√ 𝐸

27
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée


= − (II.51)
√ 𝐸
√ −√
D’où = (II.52)
𝐸

D’autre part, la figure (II.11) donne :


𝜋
cos = (II.53)

= 𝜋 = (II.54)
c s √ 𝐸√
6


D’où = (II.55)
𝐸

Ainsi, au secteur 1, la construction de la MLI vectorielle s’effectue de la manière suivante :


⃗⃗⃗ ⃗ ⃗ ⃗ ⃗ ⃗ ⃗ ⃗

(1-k0) T0 T1 T2 k0T0 k0T0 T2 T1 (1-k0) T0

1
S1 0

1
S2 0

1
S3 0
T T T T
T T T T

Figure
Figure II.12: Principe de la génération de la MLI vectorielle à partir des vecteurs d’état.

Le paramètre k0 est un degré de liberté supplémentaire de la MLI vectorielle. Ce paramètre


peut être utilisé pour réduire les pertes dans l’onduleur en diminuant le nombre de
commutations des interrupteurs [4].
En effectuant un calcul similaire pour chaque secteur, le temps de commutation des
interrupteurs dans chacun des six secteurs de l’hexagone peut être obtenu. Le tableau II.2
donne la valeur de ces temps ainsi que l’ordre de succession des configurations
correspondants aux vecteurs ⃗⃗𝑖 et ⃗⃗⃗⃗⃗⃗⃗
𝑖+ et du vecteur
⃗⃗⃗ ou ⃗⃗⃗ durant la période de modulation.
Pour les secteurs impairs la séquence est [⃗⃗⃗ , ⃗⃗𝑖 , ⃗⃗⃗⃗⃗⃗⃗ 𝑖+ ,
⃗⃗⃗ , ⃗⃗⃗⃗⃗⃗⃗ ⃗⃗ ⃗⃗⃗ ] et pour les secteurs
𝑖+ , 𝑖 ,
pairs la séquence est [⃗⃗⃗ , ⃗⃗⃗⃗⃗⃗⃗ ⃗⃗ ⃗⃗⃗ , ⃗⃗𝑖 , ⃗⃗⃗⃗⃗⃗⃗
𝑖+ , 𝑖 , 𝑖+ ,
⃗⃗⃗ ] . La permutation des vecteurs ⃗⃗𝑖 et ⃗⃗⃗⃗⃗⃗⃗ 𝑖+
dans les secteurs pairs permet aussi de diminuer le nombre de commutations par période de
modulation dans ces secteurs.

28
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Tableau II.3 : Description des séquences de conduction des interrupteurs.

II.9.1.2 Algorithme de détermination du secteur K:


Le choix des séquences s’effectue suivant l’organigramme décrit sur la figure II.13.
Données :
,

Oui Non
>

K=1 ou 2 ou 3 K=4 ou 5 ou 6

Oui Non Oui Non


> >

K=1 ou 2 K=2 ou 3 K=5 ou 6 K=4 ou 5

𝑣 𝑣 𝑣 𝑣

+√ 𝑣 < −√ 𝑣 < +√ 𝑣 < −√ 𝑣 <

Oui Non Oui Non Oui Non Oui Non


K=1 K=2 K=3 K=2 K=5 K=6 K=5 K=4

Figure II.13: Organigramme de la MLI vectorielle de l’onduleur à deux niveaux.

29
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.10 Simulation numérique :

La modélisation a fait pour l’objet des simulations basiques pour tester et valider les
performances de la machine asynchrone utilisée que sera mise en œuvre dans la suite de notre
travail. Les paramètres de la machine sont indiqués dans l’Annexe A.

Nous donnons les résultats de simulation pour un démarrage avec l’utilisation de la technique
de MLI vectorielle, le couple de charge appliqué est de type échelon frottement brut fixé à 05
[N.m] à t= 0.5 [s], les grandeurs sont : Le courant statorique, le flux rotorique, la vitesse de
rotation et le couple électromagnétique.

30 1

0.9
20
0.8

0.7
Le Courant Statorique [A]

Le Flux Rotorique [Wb]


10
0.6

0 0.5

0.4
-10
0.3

0.2
-20
0.1

-30 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Le temps [s] Le temps [s]

Figure II.14 : La réponse du Figure II.15 : La réponse du


courant statorique flux rotorique

70 120
Ce
60 Ce(Ref)
100
Le Couple Electromagnétique [N.m]

50

80
La Vitesse Wr [Rad/s]

40

30 60

20
40

10

20
0

-10 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Le Temps [s] Le temps [s]

Figure II.16 : La réponse du Figure II.17 : La réponse de la


couple électromagnétique vitesse de rotation
30
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

II.11 Interprétations des résultats obtenus :

Les résultats précédents présentent la simulation à vide et après avoir d’ajouter une charge de 05
[N.m] à l’instant 0.5 [s] d’un moteur asynchrone alimenté par la technique de MLI vectorielle en
boucle ouverte.
La figure II.14 montre la réponse du courant statorique, au cours du démarrage, le courant atteint
une valeur plus de deux et demi fois de sa valeur nominale, après il se stabilise à sa valeur
nominale, par la suite il aura une augmentation quand on ajoute la charge.
La figure II.15 montre la réponse du flux rotorique, au début la valeur de flux atteint sa valeur
nominale ≈ 0.93 [Wb], après il a un écroulement au-dessous quand on ajoute la charge.
La figure II.16 présente la réponse du couple, en régime transitoire, la forme de son démarrage
est fortement pulsative, après sa réponse prend une valeur autour de zéro. Après application de
la charge, le couple atteint sa valeur finale égale à la valeur de charge appliquée, autour de 05
[N.m].
La figure II.17 présente la réponse de la vitesse, dans le régime permanent, elle se stabilise à
une valeur ≈ 110 rad/s, après il aura une diminution quand on ajoute la charge puisqu’il y avait
pas une chaine de régulation pour atteindre sa valeur de référence.

II.12 Conclusion :

La modélisation de la machine asynchrone est une étape nécessaire pour son contrôle, car
le modèle mathématique de la machine doit avoir une structure pouvant décrire et simuler
complètement les caractéristiques réelles de la machine d'une part, et de l’autre, il faut qu'il
soit pratique pour la mise en œuvre avec des algorithmes plus complexes.

Dans ce chapitre, la modélisation de la machine asynchrone avec son alimentation a été


présentée. Le chapitre ayant commencé tout d’abord par la conception physique
et mathématique de la machine à l’induction, par la suite, on a une représentation des repères
de référence qui ont été arborées pour établir un modèle biphasé de la machine basé sur le
modèle équivalent de la machine asynchrone dans un référentiel bien choisi . Enfin, le
chapitre s'est clôturé par des différentes représentations d’état du modèle biphasé de la
machine asynchrone alimentée en tension.

Les résultats obtenus lors d’un démarrage à vide et après l’application de la charge, atteste la
validité du modèle de la machine présentée.

31
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

Bibliographie
[1] S.Emmanuel "Diagnostic des machines asynchrones: modèles et outils paramétriques
dédiés à la simulation et à la détection de défauts", Thèse de doctorat université de
Nantes ,1999.
[2] S.Belhamdi ''Prise en compte d'un défaut rotorique dans la commande d'un moteur
asynchrone'', Thèse de magister université de Biskra, 2005.
[3] R.Abdessemed, M.Kadjoudj,˝Modélisation des machines électriques˝, Presses de
l’université de Batna.1997.
[4] C.Canudas de Wit, ˝ Commande des Moteurs Asynchrone : Modélisation, Contrôle
Vectoriel et DTC˝, Edition Hermès Sciences, Lavoisier, Paris 2004.
[5] G.Grellet , G.Clerc ‘actinonneurs éléctriques’ ,edition- Eyrolles, 1996.
[6] J.Bonal,G.Seguier,’Entraînement Electrique à Vitesse Variable, Rappels
d’Electrotechnique de Puissance et d’Automatisme les Variateurs Electroniques de
Vitesse’, Volume 2, Edition Technique et Documentation, Paris, 1998.
[7] https://fr.wikipedia.org/wiki/Variateur_%C3%A9lectronique_de_vitesse
[8] H.Tamrabet, ‘Robustesse d’un contrôle vectoriel de structure minimale d’une
machine Asynchrone’, Thèse de magistère, Université de Batna, 2006.
[9] S.Mendaci, ’Différentes stratégies du contrôle direct de couple d’un Moteur à
Induction associée à une observateur de flux par Modes de glissement’, Thèse de
Magister en Electrotechnique, Université de Batna, Algérie.
[10] ‘Contrôle du Moteur à induction sans capteur de vitesse et de position’, Thèse de
Magister en Electrotechnique, Université de Batna, Algérie, 2005.
[11] N.Nait-Said, ‘Contribution A L’identification Et A La Commande De La Machine
Asynchrone’, Thèse de doctorat en génie électrique, Université de Batna,Algérie,
2003.
[12] A.DISSA, "Contrôle Direct Du Couple Du Moteur A Induction Sans Capteur De
Vitesse Associée A Un Observateur Non Linéaire", Thèse de Magister en
Electrotechnique, Université de Batna, Algérie.
[13] W.Shepherd,L.Zhang,’Power Converter Circuits’. Edition Founding. New York,
USA, 2004
[14] G.Guy,C.Guy,’Actionneurs Electriques, Principes Modèles Commande’,
Edition Eyrolles, 2000.
[15] L. Benalia,’Commande en tension des moteurs à induction double alimentes ‘, Thèse
de doctorat, Université de Batna, 2010.
[16] G.Séguier ‘Electronique de puissance. Les fonctions de base et leurs principales
applications’, 7e édition 1999
[17] L.Baghli, ‘Contribution à la commande de la MAS utilisation à la logique floue et des
réseaux de neurones et des algorithmes génétiques’.
[18] J.P.Caron, J.P.Hautier, ‘Modélisation Et Commande de La Machine Asynchrone’.
Edition TECHNIP, Paris 1995.
[19] C.Carloss de Wit, ‘Modélisation contrôle vectoriel et DTC’, Edition Hermes Sciences
Europe, 2000.

32
Chapitre II : Modélisation De L’association Convertisseur - Machine Asynchrone Triphasée

[20] A. Sarinar Toled, ‘Commande Directe et Observation des convertisseurs de puissance


: Application à l’Onduleur de tension Triphasé’, Thèse de Doctorat, Institut National
Polytechnique de Gronoble, France, 2000.
[21] P.Brunet, ‘Introduction à la commande vectorielle des machines asynchrones’, LTEG
Henri BRISSON Vierzon, France.
[22] J.Chatelain, " Machines électriques 1 ", Presse Polytechniques romandes, 1983.
[23] M.Pinard,‘Commande électronique des moteurs électriques’,Edition Dunod, Paris
2004
[24] B. Akin, ‘State Estimation Technique for Speed Sensor Less Field Oriented Control of
Induction Motors’, Thesis of Master, The Middle East Technical University, Turkey,
2003.
[25] M.Sc.M.Malinowski, ‘Sensorless Control Strategies for Three –Phase PWM
Rectifiers‘, Ph.D. Thesis, Faculty of Electrical Engineering Institute of Control and
Industrial Electronics, Warsaw University of Technology Warsaw, Poland, 2001.
[26] Y.Zhenyu, ‘Space Vector PWM With TMS320c24x/F24x Using Hard-Ware and
Software Determined Switching Patterns’, Digital Signal Processing Solutions,
Texas Instruments, 1999.

33
Chapitre III:

Principe de la Commande Directe du


Couple d’un Moteur Asynchrone Alimenté
par deux types d’Onduleurs
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.1 Introduction :

La technique de commande directe du couple (Direct Torque Control ‘DTC’) a été introduite
par monsieur ‘Takahashi’ au milieu des années 80 [1]-[7]. Récemment, cette technique est la
plus utilisée dans l'industrie et a placé parfaitement d'autres types de commande, et
particulièrement, la commande par orientation de flux (Field Oriented Control ‘FOC‘), car
cette technique présente un inconvénient majeur lié avec la forte sensibilité aux variations
dans les paramètres essentielles dans les machines asynchrones triphasées.

La commande DTC consiste à calculer les grandeurs de contrôle qui sont le flux statorique et
le couple électromagnétique à partir des mesures de courants statoriques sans utilisation de
capteurs mécaniques, en même temps, cette de commande assure un découplage naturel entre
le flux et le couple et son schéma synoptique a une structure simple.Car l’absence de boucles
de contrôle des courants, la transformation de Park et le block de calcul de la modulation de
tension MLI rend la réalisation de la commande DTC plus faisable que la commande par
orientation de flux rotorique.

Aussi, cette technique offre, des avantages déjà bien connus par rapport aux autres techniques
classiques présentés les points suivants [5] :

 N’exige qu'un seul régulateur, qui représente la régulation de vitesse.


 Le contrôle par hystérésis dépend à la fréquence de commutation de l’onduleur.
 Une grande robustesse contre la variation des paramètres de la machine.

Cependant, la DTC a des problèmes à basse vitesse, la nécessité de disposer des estimations
de flux statorique et du couple, ses contraintes de calcul qui sont beaucoup plus fortes (20 à
30 kHz) [3]-[4] et les ondulations dans le couple et le flux.

Dans ce chapitre, on va montrer le principe de fonctionnement de la commande directe de


couple, telles que la direction désirée de l’évolution dans le flux statorique et le couple
électromagnétique, l’estimation de ces grandeurs à partir des grandeurs purement électriques,
détermination des secteurs par le calcul de l’angle du flux statorique, l’utilisation des
correcteurs à bandes d’hystérésis pour contrôler le flux ainsi que le couple, afin d’établir la
table de vérité qui représente le noyau de l’algorithme des commutations nécessaires dans
cette stratégie de commande.

34
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.2 Principe de la commande DTC appliquée sur un onduleur à deux niveaux:

Le principe de la commande DTC est basé sur la régulation directe du couple


électromagnétique de la machine, par l’application de différents vecteurs de tensions sur
l’onduleur bien choisi. Les grandeurs contrôlées sont le flux statorique et le couple
électromagnétique, généralement sont commandés par des régulateurs à bandes hystérésis de
deux à plusieurs niveaux, afin de maintenir ces grandeurs à l’intérieur de ses bandes et pour
déterminer les évolutions exactes de ces grandeurs contrôlées.

Les sorties de ces régulateurs, et l’angle qui représente la position du flux statorique, ils sont
tout déterminés le vecteur de tension de l’onduleur optimal à appliquer dans chaque instant de
commutation [6].

Dans une commande DTC, il est conseillé de travailler avec des fréquences de calcul plus
élevées pour avoir des réductions dans les oscillations du couple générées par les régulateurs à
hystérésis.
Les propriétés générales de la commande directe du couple sont représentées dans les points
suivants:

 Une commande directe du couple et du flux à partir de la sélection des vecteurs


optimaux.
 Une commande indirecte des courants et des tensions statoriques.
 Une estimation du couple et de flux à partir des grandeurs électriques.
 L’obtention des flux et des courants statoriques proches de formes sinusoïdales.
 Une réponse dynamique de la machine très rapide.
 L’existence des oscillations du couple qui dépend, entre autres, des facteurs de la
largeur des bandes des régulateurs à hystérésis.
 La fréquence de commutation de l’onduleur dépend de l’amplitude de ces bandes.
 Une trajectoire circulaire du flux statorqiue dans le plan biphasé.

Cela conduit à un contenu harmonique riche en augmentant les pertes, les bruits acoustiques
et des oscillations du couple qui peuvent exciter des résonances mécaniques.

III.3 La théorie de la commande directe du couple :


III.3.1 Le contrôle vectoriel du couple :

On utilise les expressions vectorielles de la machine dans le référentiel lié au stator : [8]
̅
𝜙
̅ = 𝐼̅ +
{ ̅
𝜙
(III.1)
̅ = = 𝐼̅ + − 𝜎 𝜙̅
Alors le courant stator s’écrit :
̅
𝜙 𝐿
𝐼̅ =
𝜎 𝐿
− 𝐿 𝑚𝐿 𝜙̅ (III.2)

35
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Avec
𝐿2𝑚
𝜎= − (III.3)
𝐿 𝐿

Les équations deviennent :


̅
𝜙
̅ = 𝐼̅ +
{ ̅
𝜙 𝐿
(III.4)
+ 𝜔 𝜙̅ = 𝑚 𝜙̅
𝜎𝛿 𝐿 𝜎𝛿

Ces relations montrent que :

 le vecteur 𝜙̅ peut être contrôlé à partir du vecteur ̅ à la chute de tension 𝐼 ̅ prés.


 Le flux 𝜙̅ suit les variations de 𝜙̅ avec une constante de temps 𝜎 , le rotor agit
comme un filtre de constante de temps 𝜎 entre les flux 𝜙̅ et 𝜙̅ .

De plus 𝜙̅ atteint en régime permanent :


𝐿 ̅
𝜙
𝜙̅ = 𝑚 (III.5)
𝐿 + 𝜔 𝜎𝛿

En posant = ̅̅̅̅̅̅̅
𝜙 𝜙 , le couple s’exprime par :
𝐿𝑚
𝐶 𝑚 = 𝜎𝐿 𝐿
𝜙 𝜙 sin = ‖𝜑 . 𝜑 ‖ sin = ‖𝜑 ‖. ‖𝜑 ‖ sin (III.6)

On constate donc que le couple dépend de l’amplitude des deux vecteurs 𝜙̅ et 𝜙̅ et de leur
position relative.

Si l’on parvient à contrôler parfaitement le flux 𝜙̅ à partir de ̅ en module et en position, on


peut donc contrôler l’amplitude et la position relative de 𝜙̅ . Ceci n’est possible que si la
période de commutation Te est très inférieur à 𝜎 .

L’équation (III.6) permet donc de conclure que la seule manière de faire varier la composante
du couple électromagnétique à l’échelle de la période d’échantillonnage est d’agir sur l’angle
entre les vecteurs flux statorique et rotorique.

La figure III.1 représente la composante du couple produite en fonction des deux vecteurs 𝜙̅
et 𝜙̅ , et elle montre aussi qu’afin d’augmenter rapidement l’angle et donc le couple, donc
il est indésirable de faire avancer le vecteur flux statorique dans le sens de rotation considéré
positif.

L’application d’un vecteur de tension nul peut également permettre de maintenir la valeur du
couple.

36
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Figure III.1 : Le couple produit en fonction des flux𝜙̅ et 𝜙̅ .

III.3.2 Le contrôle du flux statorique:

Par l’intégration de l’équation statorique de la machine asynchrone (II.1), on peut établir


l’équation qui régit le comportement du vecteur flux statorique en fonction de temps par :

𝜑 =𝜑 +∫ − 𝐼 (III.7)

En négligeant le terme résistif, ce qui est approximatif raisonnable aux moyennes et en hautes
vitesses, la variation du vecteur flux statorique issue de l’application d’un vecteur Vs à la
machine durant un temps court , ∆T=t1-t2 est donnée par :

𝜑 =𝜑 + ∆ → ∆𝜑 = 𝜑 −𝜑 ≈ ∆ (III.8)

L’équation (III.8) montre que lors de l’application d’un vecteur de tension constant,
l’extrémité du vecteur flux statorique évolue dans le temps décrivant une droite parallèle à ce
vecteur tension, et on se déplace à une vitesse (en Wb/s) égale à son amplitude (Figure. III.2).

Figure III.3 : Pilotage du vecteur flux


Figure III.2 : Evolution du vecteur
statorique à l’aide des vecteurs tension
flux statorique dans le plan αβ
fournis par un onduleur de deux niveaux

La figure III.2 montre que l’amplitude du vecteur flux statorique ou bien la magnétisation de
la machine peut être augmentée (respectivement diminuée) en appliquant un vecteur de
tension ayant une forte composante colinéaire avec le vecteur flux statorique et il est de même

37
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

sens (respectivement de sens inverse). Par contre, l’application d’un vecteur de tension en
quadrature aura un effet négligeable sur la variation de son amplitude [9] [10].

La figure III.3 montre qu’il est possible de déplacer le vecteur du flux statorique dans le plan
αβ à partir des vecteurs de tension fournis par un onduleur de deux niveaux selon six
directions déterminées. En commutant d’un vecteur de tension bien sélectionné, permet de
faire déplacer le vecteur flux statorique sur le plan αβ et suivre une trajectoire. Généralement
d’une forme circulaire.

III.4 Présentation de la structure de contrôle:

III.4.1 Sélection du vecteur tension :

L’objectif du contrôle du flux étant de garder le module de ce dernier constant, la


meilleure façon de le faire sera de piéger sa trajectoire de référence de telle sorte qu’elle reste
dans les limites des deux cercles concentriques de rayon très proches. La largeur Δ𝜑 de cet
anneau circulaire dépend de la fréquence de commutation des interrupteurs de l’onduleur.

Le choix de ne porte pas uniquement sur l’erreur du module mais sur le sens de rotation de
𝜑 et le secteur dans lequel se trouve le vecteur flux.

A cet effet, le plan complexe (α, β) fixe au stator est subdivisé en six secteurs, figure (III.4).
Lorsque le flux 𝜑 se trouve dans la section i, le contrôle du flux et du couple peut être assuré
en sélectionnant l’un des huit vecteurs tensions, selon la manière suivante :

 Si + est sélectionné alors 𝜑 croit et croit ;


 Si − est sélectionné alors 𝜑 croit et décroît ;
 Si + est sélectionné alors 𝜑 décroît et croit ;
 Si − est sélectionné alors 𝜑 décroît et décroît ;
 Si ou est sélectionné, la rotation de 𝜑 est arrêtée, d’où une décroissance du
couple alors que le module du couple reste inchangé [11].

Le niveau d’efficacité des vecteurs de tension appliquées dépend également de la position du


vecteur flux dans la zone i.

En effet, au début de la zone, les vecteurs + et − sont perpendiculaires à 𝜑 d’où une


évolution rapide du couple mais une évolution lente de l’amplitude du fluxs ϕ, alors qu’en fin
de zone, l’évolution est inverse. Avec les vecteurs − et + , correspond une évolution
lente du couple et rapide de l’amplitude de 𝜑 en début de la zone, alors qu’en fin de la zone
c’est le contraire qui se produit.

Quel que soit le sens d’évolution du flux ou du couple, dans la zone i, les deux vecteurs
et + ne sont jamais utilisés. En effet, ces deux vecteurs provoquent une forte croissance du
flux mais son effet sur le couple dépend de la zone, avec un effet nul en milieu de zone.

38
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Le vecteur tension statorique à la sortie de l’onduleur est déduit des écarts du couple et du
flux estimés par rapport à leurs références, ainsi que de la position du vecteur 𝜑 .

Un estimateur de 𝜑 en module et en position ainsi qu’un estimateur de couple sont donc


nécessaires.

Figure III.4 : Evolution du flux et du couple pour les


différents vecteurs de tensions possibles.

III.4.2.Estimateurs:
. L’estimation du flux statorique et du couple électromagnétique se fait à partir de vecteurs
tension et des courants statoriques [11].

III.4.2.1 Estimateur du flux statorique:


. L’expression du flux statorique s’écrit : [11],[12]
. 𝜑 =∫ − 𝐼 (III.9)

Le vecteur flux statorique est calculé à partir de ses deux composantes biphasées d’axes (α,β)
, soit :

𝜑 =𝜑 + 𝜑 (III.10)
𝜑 =∫ − 𝐼
Avec { (III.11)
𝜑 =∫( − 𝐼 )

Et le module de 𝜑 s’écrit :
|𝜑 | = √𝜑 +𝜑 (III.12)

39
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Les composantes , du vecteur de courant statorique sont calculées à partir des courants
( , , mesurés et par la transformation de Concordia, soit :

= + (III.13)

=√
{ (III.14)
= −

Les composantes , du vecteur de tension statorique sont calculées à partir de la tension


d’entrée et des états de commande ( , , , soient :

=√ − +
{ (III.15)
= −

Le secteur dans lequel se trouve le vecteur 𝜑 est déterminé à partir des composantes 𝜑
et 𝜑 . L’angle 𝜃 détermine la position du vecteur 𝜑 est égale à :
𝜑
𝜃 = tan− 𝜑
(III.16)

La position de 𝜑
⃗ dans l’intervalle [0 2𝜋] est donnée dans le tableau III.1.

𝜽𝒔
𝜑
𝑎 −
𝜑 > 𝜑
𝜑 > 𝜑
𝑎 − + 𝜋
𝜑 < 𝜑
𝜑
𝜑 < 𝑎 − +𝜋
𝜑
𝜋
𝜑
𝜑 =
𝜋
𝜑 <

Tableau III.1: La position du flux statorique dans l’intervalle [0 2π]

III.4.2.2 Estimateur du couple électromagnétique :


. A partir des valeurs calculées de et et les composantes estimées de 𝜑 et 𝜑 ,
on peut estimer le couple électromagnétique par la relation suivante :

𝐶 = 𝑃[𝜑 −𝜑 ] (III.17)

40
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.4.3 Correcteurs :
. III.4.3.1 Correcteur du flux de la DTC à deux niveaux :
. L’objectif de cette correction est de conserver l’amplitude du flux statorique dans
une bande et de maintenir ainsi l’extrémité de ce dernier dans une couronne circulaire
comme le montre la figure III.5.[14], [13]

La sortie du correcteur doit indiquer le sens d’évolution du module du flux. Les deux seuils du
comparateur sont choisis suivant l’ondulation tolérée par le flux statorique.

On peut écrire alors :

𝛥𝜑 > 𝜑 𝐴 𝐾𝜑 =
𝛥𝜑
𝛥𝜑 𝜑 > 𝐴 𝐾𝜑 =
𝛥𝜑
𝛥𝜑 𝜑 < 𝐴 𝐾𝜑 =
{ 𝛥𝜑 < − 𝜑 𝐴 𝐾𝜑 =

 𝐾𝜑 = Signifie qu’il faut réduire le flux.


 𝐾𝜑 = Signifie qu’il faut augmenter le flux.

Ce régulateur à hystérésis à deux niveaux convient parfaitement pour avoir des bonnes
performances dynamiques.

Figure III.5: Contrôleur à hystérésis à deux niveaux


et sélection des tensions correspondant.
On suppose que le vecteur flux statorique est située dans le secteur 1 et tournant dans la sens
positif, on peut constater que :

 Pour augmenter l’amplitude du flux statorique, on peut appliquer les vecteurs de


tension , ou .
 Pour diminuer l’amplitude du flux statorique, on peut appliquer l’un des trois vecteurs
, ou .

41
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Les vecteurs ( , , ) possèdent une composante positive, par conséquent, ils


contribuent à l’augmentation du module du flux. Par contre, les vecteurs ( , , )
possèdent une composante négative permettant de diminuer le module de flux.

Le tableau III.2 illustre les vecteurs de tension à appliquer pour augmenter ou diminuer
l’amplitude de flux statorique dans chaque secteur.

Secteur 𝜑 croit 𝜑 décroit


N1 , , , ,
N2 , , , ,
N3 , , , ,
N4 , , , ,
N5 , , , ,
N6 , , , ,
Tableau III.2: Table de contrôle de flux.

III.4.3.2 Correcteur du couple de la DTC à deux niveaux :


. Le correcteur de couple a pour but de maintenir le couple dans sa bande
d’hystérésis et d’imposer ainsi l’amplitude des ondulations du couple.

Pour mieux contrôler le couple dans les quatre cadrans de fonctionnement sans intervention
sur la structure ; ‘Takahashi’ a proposé un correcteur à hystérésis à trois niveaux, [15].

Ce correcteur permet de commander la machine dans les deux sens de rotation avec un couple
positif ou négatif.

Ce comparateur est modélisé par l’algorithme suivant :

𝛥𝐶 > 𝐴 𝐾 =
𝛥 𝑒
𝛥𝐶 > 𝐴 𝐾 =
𝛥 𝑒
𝛥𝐶 < 𝐴 𝐾 =
𝛥𝐶 < − 𝐴 𝐾 =−
𝛥 𝑒
− 𝛥𝐶 > 𝐴 𝐾 =
𝛥 𝑒
{ − 𝛥𝐶 < 𝐴 𝐾 =−

 𝐾 = − signifie que le couple est inférieur à la limite inférieure de la bande et il faut donc
l’augmenter.
 𝐾 = signifie que le couple est à l’intérieur de la bande et il faut donc le maintenir.
 𝐾 = signifie que le couple est supérieur à la limite supérieure de la bande et il faut le
diminuer.

42
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Figure III.6: Contrôle du couple électromagnétique


à l'aide d'un comparateur à hystérésis à trois niveaux.
On note aussi que l’utilisation d’un correcteur à deux niveaux est possible, mais il n’autorise le
contrôle du couple que dans un seul sens de rotation.

Avec ce correcteur, pour inverser le sens de rotation de la machine il est nécessaire de croiser
deux phases de la machine.

Cependant ce correcteur est plus simple à implanter. De plus en sélectionnant correctement les
vecteurs nuls suivant les zones Ni, on s’aperçoit que pour chaque zone i, il y a un bras de
l’onduleur qui ne commute pas, et permet ainsi de diminuer la fréquence moyenne de
commutation des interrupteurs et par conséquence, on diminue les pertes par commutation au
niveau de l’onduleur.

Le tableau III.3 illustre les vecteurs de tension à appliquer pour augmenter ou diminuer le couple
électromagnétique dans chaque secteur.

Secteur 𝐶 𝑚 croit 𝐶 𝑚 décroit


N1 , ,
N2 , ,
N3 , ,
N4 , ,
N5 , ,
N6 , ,
Tableau III.3: Table de commande du couple.

III.4.4 Elaboration des tables de commande :


. Pour élaborer une table de commande, il est nécessaire de montrer un exemple de
choix de vecteur de tension qui permet à la fois d’augmenter le module du flux et du couple
électromagnétique. On considère que le vecteur flux statorique est dans le secteur 3, les
vecteurs de tension , ,et permettent d’augmenter le module du flux statorique et
les vecteurs de tension et permettent d’augmenter le couple électromagnétique.
On vérifie que pour cette position du vecteur flux dans le secteur 3, seule la tension est

43
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

capable d’augmenter à la fois l’amplitude du flux et du couple. On peut ainsi envisager


les différents cas. On choisi une séquence nulle toutes les fois où Kc=0, c'est-à-dire quand le
couple est à l’intérieur de sa bande d’hystérésis. Le choix entre et est fait pour réduire
la fréquence de commutation. Le principe de raisonnement appliqué est le même pour avoir
les autres différents cas, que ce soit la direction de variation dans le flux statorique et dans le
couple électromagnétique, et également dans n’importe où se trouve la position angulaire du
flux statorique . Par cette méthode, on obtient les tableaux de commande ci après.

III.4.4.1 Elaboration de table de commutation avec séquences nulles :


Approche de ‘Takahashi’ :

. Le tableau III.4 constitue l’essentiel des travaux de ‘Takahashi’, on remarque que les
règles de comportement du flux et du couple énoncées avant sont totalement respectées. On
peut avoir sur le tableau des séquences nulles qui sont systématiquement appliquées lorsqu’on
souhaite maintenir le couple. Ceci quelques soient les états de sortie de correcteur du flux et
pour les autres situations, on applique un des vecteurs actifs selon le secteur et le
comportement du flux et du couple.

∆𝝋𝒔 ∆𝑪𝒆𝒎 Secteur1 Secteur2 Secteur3 Secteur4 Secteur5 Secteur6


1 V3 V4 V5 V6 V1 V2
0 0 V7 V0 V7 V0 V7 V0
-1 V5 V6 V1 V2 V3 V4
1 V2 V3 V4 V5 V6 V1
1 0 V0 V7 V0 V7 V0 V7
-1 V6 V1 V2 V3 V4 V5

Tableau III.4: Table de localisation selon ‘I.Takahashi’ pour


le réglage du flux et du couple (DTC classique)

III.4.4.2 Elaboration de table de commutation sans séquences nulles :


A partir de la table de commande précédente, nous connaissons les différents vecteurs
de tension actifs à appliquer afin de pouvoir maintenir le module du flux statorique et le couple
électromagnétique à l’intérieur des bandes de tolérance définies. En revanche, l’idée d’appliquer
que des séquences de tensions actives ne sont pas optimales. En effet, l’absence de séquences de
tension nulle dans la table de commande contribue à augmenter le nombre de commutation et
donc les pertes correspondantes.

Pour définir cette table de commutation, nous avons supposé que la sortie du régulateur
du couple ne prend que de deux états, comme celle du flux. Ceci revient à dire que la condition
de maintien du couple est rarement utilisée [16].

44
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Les séquences qui correspondent à ∆𝐶 𝑚 nulle sont ignorées dans la table suivante qui illustre
cette modification (Tableau III.5)

∆𝝋𝒔 ∆𝑪𝒆𝒎 Secteur1 Secteur2 Secteur3 Secteur4 Secteur5 Secteur6


1 V3 V4 V5 V6 V1 V2
0
0 V5 V6 V1 V2 V3 V4
1 V2 V3 V4 V5 V6 V1
1
0 V6 V1 V2 V3 V4 V5

Tableau III.5: Table de commutation sans séquences nulles.

III.5 Structure générale du contrôle directe du couple sur un onduleur à deux niveaux:

La structure entière de la commande directe du couple appliquée aux onduleurs à deux


niveaux pour une machine asynchrone est représentée dans la figure III.7. Après la
détermination des cordonnées du vecteur flux statorique, par estimation en intégrant
directement les tensions statoriques, on peut estimer le couple à l’aide de l’équation (III.14)
en mesurant les courants statoriques. L’erreur instantanée du couple est ensuite calculée et
appliquée à un régulateur hystérésis à double bande, générant à sa sortie la variable ∆Cem à
trois niveaux (-1, 0, 1), représentative du sens d’évolution souhaité pour le couple. De même,
le module du vecteur flux statorique est calculé à partir des valeurs de ses coordonnées et
l’erreur du flux statorique injectée dans un régulateur hystérésis à bande unique, générant à sa
sortie la variable binaire ∆𝜑 représentative de l’évolution souhaitée pour le flux. La variable
𝜃 correspond à une discrétisation du plan de phase et identifie le secteur angulaire dans lequel
se trouve le flux statorique.

La sélection du vecteur de tension appliqué à la machine, aussi bien que la séquence des
niveaux de phase et les signaux de commande de l’onduleur se font par le tableau
III.4. Ce dernier est synthétisé à partir des règles qualitatives d’évolution du flux statorique et
du couple qui sont établies au point précédent et constituent ainsi le noyau de l’algorithme de
commande [17], [18].

45
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Figure III.7: Schéma synoptique de la commande directe du couple pour


un onduleur à deux niveaux appliquée sur une machine asynchrone.

46
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.6 Modélisation de l’onduleur multi-niveaux à structure NPC :

III.6.1 Structure de l’onduleur à trois niveaux :

L’onduleur triphasé de trois niveaux à structure NPC comme l’indique la figure (III.8)
est une nouvelle structure de conversion utilisée pour alimenter à tension de fréquence
variable, des moteurs à courant alternatif de forte puissance. [13], [20].

L’architecture du convertisseur à trois niveaux est une forme de mise en série du


convertisseur classique à deux niveaux qui, dans ce cas précis, revient à doubler le nombre
d’interrupteurs ainsi que des sources continues; le convertisseur triphasé à trois niveaux est
composé de douze interrupteurs bidirectionnels en courant et de six diodes reliées au point
milieu de source permettant ainsi l’accès au potentiels +Uc,-Uc, 0.

Elle est constituée principalement de trois bras symétriques, chaque bras de l’onduleur est
constitué de quatre paires (diode-transistor) en séries et deux diodes médianes permettant
d’avoir le niveau zéro de la tension de sortie de l’onduleur.

Une diode en inverse sur chaque interrupteur assure la circulation du courant pendant la phase
de roue libre.

L’amplitude de la tension aux bornes de chaque semi-conducteur est limitée à la demi-tension


de la source majorée de la surtension due à la commutation des interrupteurs. Les potentiels
des bornes A, B, C peuvent prendre les trois valeurs +Uc, 0, -Uc d’où l’appellation onduleur
triphasé à trois niveaux.

Figure III.8: Onduleur à trois niveaux à structure NPC alimenté une MAS.

47
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.6.2 Modélisation du fonctionnement d’un bras d’onduleur à trois niveaux :

La symétrie des onduleurs triphasées à trois niveaux permet leur modélisation par
bras. Ainsi, on commencera par définir un modèle global d’un bras sans à priori sur
la commande. On montrera ensuite comment déduire celui d’un bras complet.

Dans le but de réduire, en considérant toutes les configurations possibles d’un bras, on
représente chaque pair transistor (Tki) –diodes (Dki) par un seul interrupteur bidirectionnel
(TDki) [13], [20]. On vu la symétrie de la structure de l’onduleur triphasée à trois niveaux. la
modélisation de ce dernier se fait par bras figure (ІII.9).

Figure III.9: Bras de l’onduleur triphasé à trois niveaux.

III.6.3 Différents configurations du bras d’onduleur à trois niveaux :

Une analyse topologique d’un bras montre cinq configurations possibles. Ces
différentes configurations sont présentées par les figures (ІII.10). Les grandeurs
électriques caractérisant chacune de ces configurations sont données dans le tableau (ІII.6)
(avec 0 origine des potentiels et Vk le potentiel du nœud K du bras K) .pour la
configuration E0, le potentiel Vk dépend de la charge de l’onduleur [21].

Figure III.10: Différentes configurations d’un bras k de l’onduleur à trois niveaux.

Les grandeurs électriques caractérisant chacune de ces configurations sont représentées dans
le tableau (ІII.6) :

48
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

configuration Grandeurs électriques


E0 Ik=0 , Vk dépend de la charge
E1 Vk=Uc1=Uc
E2 Vk=0
E3 Vk=-Uc2=-Uc
E4 Vk=0
Tableau III.6: Grandeurs électriques correspondantes à chacune
des configurations d’un bras K d’onduleurs à trois niveaux à structure NPC.

III.6.4 Modèle de commande des onduleurs à trois niveaux:

A fin d’avoir un fonctionnement totalement commandable qui permet à l’onduleur de


délivrer les trois niveaux de tensions désirées, on doit le faire fonctionner en son mode
commandable. Trois commandes complémentaires peuvent être appliquées sur un bras.

On définira la fonction de connexion du demi-bras 𝐹 𝑚 :

m=1 Le demi-bras du haut ;


m=0 Le demi-bras du bas.

Les fonctions de connexion des demi-bras s’expriment, en fonction de celles des interrupteurs
comme suit :

𝐹 =𝐹 𝐹
{ (III.18)
𝐹 =𝐹 𝐹

Avec 𝐹 et 𝐹 respectivement pour le demi-bras du haut et, celui du bas.

𝐹 𝑚 vaut «1» dans le cas où les interrupteurs du demi-bras sont tous fermés, et «0» dans tous
les autres cas. Le tableau (III.7) présente la table d’excitation des interrupteurs d’un bras
d’onduleur à trois niveaux.

FK1 FK2 BK3 BK4 VK


1 1 0 0 Uc1
1 0 1 0 0
0 0 1 1 -Uc2
0 1 0 1 0
Tableau III.7: Table d’excitation des interrupteurs de l’onduleur
à trois niveaux à structure NPC.

49
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Les tensions simples entre les bornes du moteur et le point milieu sont données comme suit :

= −
{ = − (III.19)
= −

Les tensions composées aussi sont données comme suit :

= −
{ = − (III.20)
= −

Les tensions simples en fonction des tensions composées sont données par le système
suivant :
𝑉 −𝑉
𝑁 =
𝑉 −𝑉
𝑁 = (III.21)
𝑉 −𝑉
{ 𝑁 =

La tension à la borne du neutre du moteur et le point milieu s’exprime par :


𝑉 𝑂 +𝑉 𝑂 +𝑉 𝑂
𝑁 = (III.22)

Les tensions de sortie de l’onduleur triphasé à trois niveaux par rapport au point milieu
« o » en fonction de l’état des interrupteurs s’exprime comme suit : (on suppose Uc1= Uc2=
Uc) .

=𝐹 𝐹 −𝐹 𝐹 = 𝐹 𝐹 −𝐹 𝐹
{ =𝐹 𝐹 −𝐹 𝐹 = 𝐹 𝐹 −𝐹 𝐹 (III.23)
=𝐹 𝐹 −𝐹 𝐹 = 𝐹 𝐹 −𝐹 𝐹

On constate d'après le système (III.23), que l'onduleur de tension à trois niveaux est
équivalent à deux onduleurs à deux niveaux en série.[19]

𝐹 =𝐹 𝐹 𝐹 =𝐹 𝐹 𝐹 =𝐹 𝐹
{ ;{ ;{ (III.24)
𝐹 =𝐹 𝐹 𝐹 =𝐹 𝐹 𝐹 =𝐹 𝐹

En introduisant l’expression de ces dernières dans les tensions d’entrées on aboutit à :

=𝐹 −𝐹 = 𝐹 −𝐹
{ =𝐹 −𝐹 = 𝐹 −𝐹 (III.25)
=𝐹 −𝐹 = 𝐹 −𝐹

50
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Les tensions composées sont données par :

= − = 𝐹 𝐹 −𝐹 𝐹 − 𝐹 𝐹 −𝐹 𝐹
{ = − = 𝐹 𝐹 −𝐹 𝐹 − 𝐹 𝐹 −𝐹 𝐹 (III.26)
= − = 𝐹 𝐹 −𝐹 𝐹 − 𝐹 𝐹 −𝐹 𝐹

En introduisant les fonctions de connexion des demi-bras on obtient :

− 𝐹 𝐹
[ ]=[ − ] . {[𝐹 ] − [𝐹 ] } (III.27)
− 𝐹 𝐹

Les tensions simples sont données comme suit:

− − 𝐹 𝐹
[ ] = ⁄ [− − ] . {[𝐹 ] − [𝐹 ] } (III.28)
− − 𝐹 𝐹

L’ensemble des vecteurs de tensions délivrées par un onduleur à trois niveaux ainsi que les
séquences de niveaux de phase correspondantes sont représentées dans la figure III.11 :

Figure III.11: Vecteurs tension que peut fournir


l'onduleur à multi-niveaux de tension.
 Le groupe des vecteurs "tension nulle" : Ils sont obtenus par trois combinaisons
différentes des états des 3 bras: (1,1,1), (-1,-1,-1) et (0,0,0) et qu'on a nommé
respectivement V7, V14 et V0. Ils n'ont pas d'influence sur la tension du point milieu de
l'onduleur [22].
 Le groupe des vecteurs "demie tension" : on peut décomposer ce groupe en 02 autres
sous-groupes: Le premier est constitué des vecteurs nommés V1, V2, V3, V4, V5 et V6.
L'autre est constitué des vecteurs V8, V9, V10, V11, V12 et V13.
Ces vecteurs constituent l'hexagone interne "demi tension". L'application d'un vecteur de
l'un ou de l'autre sous-groupe a un effet contraire sur l'évolution de la tension du point
milieu [22].

51
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

 Le groupe des vecteurs "pleine tension" : Ce groupe contient les vecteurs de tension
nommés V15, V16, V17, V18, V19 et V20. Ces vecteurs constituent l'hexagone extérieur
"pleine tension" [23],[24]. La tension du point milieu, n’est pas affectée par l’application
de ces vecteurs [22].
 Le groupe des vecteurs "tension intermédiaire" : les vecteurs tension de ce groupe se
nomment V21, V22, V23, V24, V25 et V26. Pendant l’application de ces vecteurs, on ne peut
pas savoir s'il va être de l'augmenter ou de la diminuer la tension du point milieu.

III.7 La DTC commandée par un onduleur à trois niveaux de tension :


III.7 .1 Introduction :
Dans cette partie de ce chapitre, on va montrer le cas d’utilisation des onduleurs à multi-
niveaux dans la commande DTC, car cette commande dans le cas où les onduleurs à deux
niveaux sont utilisés, les informations sur l’erreur du couple et du flux sont directement
implantés pour choisir l’état de commutation sans distinguer le degré entre une erreur très
grande ou relativement petite. Cela produit évidement une réponse imprécise, les
performances du système peuvent être améliorées si on augmente le degré de niveau des
onduleurs utilisés afin d’avoir une large gamme de sélection des vecteurs tensions selon
le degré de variation dans les valeurs d’erreur dans le couple et dans le flux. Ainsi, la partition
de la position du flux sous des zones nombreuse, nous permet d’avoir une efficacité
considérable de contrôle au niveau du nouveau algorithme de commutation.

III.7 .2 Détermination des secteurs angulaires :

L’espace d’évolution de 𝜑 dans le référentiel considéré se décompose en douze (12)


zones S(i) (1,…12), ce choix est dicté par le souci d’un contrôle plus rigoureux tel que :
−𝜋 𝜋 𝜋
+ − < + − (III.29)

Où le premier secteur s’étend de -15° et 15°.

III.7 .3 Description de la structure du contrôle directe du couple à trois niveaux :

Le contrôle du flux et du couple est assuré en sélectionnant l’un des 27 vecteurs tensions
cités dans la figure (III.11), On distingue que le choix des grands vecteurs provoquent une
large variation du couple et du flux. Ainsi les moyens vecteurs pour des moyennes
variations et automatiquement les petits vecteurs pour les petites variations du flux et du
couple [11]-[25].

52
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

La figure (III.12) montre un exemple de l’influence de chaque vecteur tension sur les
composantes du flux et du couple, quand le vecteur 𝜑 se trouve dans la zone Z(1).

Figure III.12: Représentation de la sélection des vecteurs tension.

Si :

 (V26) est sélectionné, alors l’amplitude du flux croit et le couple décroît.


 (V21) est sélectionné, alors l’amplitude du flux croit et le couple croit
 (V22) est sélectionné, alors l’amplitude du flux reste inchangée et le couple croit.
 (V25) est sélectionné, alors l’amplitude du flux reste inchangée et le couple décroit.
 (V17) est sélectionné, alors l’amplitude du flux décroît et le couple croit.
 (V05) est sélectionné, alors l’amplitude du flux décroît et le couple décroît.
 (V19) est sélectionné, alors l’amplitude du flux décroît et le couple décroît.
 L’amplitude de couple reste inchangée que ce soit l’état de flux si on sélectionne un des
vecteurs nuls (V0, V07, V14).

III.7.4 Correcteur du flux et du couple de la DTC à trois niveaux :

Le comparateur du flux est modélisé par l’algorithme suivant : [26]

𝛥𝜑 > 𝜑 𝐴 𝐾𝜑 =
𝛥𝜑
𝛥𝜑 𝜑 > 𝐴 𝐾𝜑 =
𝛥𝜑
𝛥𝜑 𝜑 < 𝐴 𝐾𝜑 =
𝛥𝜑
− 𝜑 𝛥𝜑 > 𝐴 𝐾𝜑 = −
𝛥𝜑
− 𝜑 𝛥𝜑 < 𝐴 𝐾𝜑 =
{ 𝛥𝜑 < − 𝜑 𝐴 𝐾𝜑 =

53
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Ainsi que le correcteur du couple est définit par l’algorithme suivant: [26]

𝛥𝐶 > 𝐴 𝐾 =
𝛥𝐶
− 𝛥𝐶 > 𝐴 𝐾 =
𝛥𝐶
− 𝛥𝐶 < 𝐴 𝐾 =
𝛥𝐶
𝛥𝐶 > 𝐴 𝐾 =
𝛥𝐶
𝛥𝐶 < 𝐴 𝐾 =
𝛥𝐶
− 𝛥𝐶 > 𝐴 𝐾 = −
𝛥𝐶
− 𝛥𝐶 < 𝐴 𝐾 =
𝛥𝐶
− 𝛥𝐶 − > 𝐴 𝐾 = −
𝛥𝐶
− 𝛥𝐶 − < 𝐴 𝐾 = −
{ 𝛥𝐶 < − 𝐴 𝐾 =−
D’où :

 𝐾 = − , signifie que le couple est inférieur à la deuxième limite inférieure de la bande


− et il faut donc augmenter fortement la grandeur.
 𝐾 = − , signifie que le couple est inférieur à la première limite inférieure de la bande
− et il faut donc augmenter la grandeur.
 𝐾 = , signifie que le couple est à l’intérieur de deux bandes intermédiaires et il faut donc
le maintenir.
 𝐾 = , signifie que le couple est supérieur à la première limite supérieure de la bande
− et il faut le diminuer.
 𝐾 = , signifie que le couple est supérieur à la première limite supérieure de la bande
− et il faut diminuer fortement la grandeur.
La figure III.13 représente les contrôleurs à hystérésis du flux statorique et couple
électromagnétique utilisés dans la DTC à multi-niveaux ;

Figure III.13: Contrôle du flux statorique et du couple électromagnétique


à l'aide des comparateurs à hystérésis à trois et cinq niveaux.

54
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.7.5 Table de commutation de commande DTC pour l’onduleur à 3-niveaux NPC :

Afin de calculer les zones des secteurs et réaliser le contrôle direct du flux statorique et
du couple électromagnétique de la machine asynchrone pilotée par un onduleur 3-niveaux de
tension à structure NPC, nous devons élaborer la table de commutation mettant en œuvre au
mieux les possibilités de l'onduleur.

L’élaboration des tables de commutation sur douze secteurs fait une bonne localisation de
vecteur flux dans le plan complexe. De là, une nouvelle table de commande est déduite
donnant une relation directe entre Si, Kφ et KC et les ordres de commutation Vi de l’onduleur
à trois-niveaux de tension. Cette table est valide dans les deux sens de rotation de la machine.

Ce tableau est représenté dans littérature dans les travaux de [27] et [28].

Secteurs
𝑲𝝋 𝑲𝒄
S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S11 S12
+2 V21 V16 V22 V17 V23 V18 V24 V19 V25 V20 V26 V15
+1 V21 V02 V22 V03 V23 V04 V24 V05 V25 V06 V26 V01
+1 0 V00 V07 V14 V00 V07 V14 V00 V07 V14 V00 V07 V14
-1 V26 V01 V21 V02 V22 V03 V23 V04 V24 V05 V25 V06
-2 V26 V15 V21 V16 V22 V17 V23 V18 V24 V19 V25 V20
+2 V22 V17 V23 V18 V24 V19 V25 V20 V26 V15 V21 V16
+1 V22 V03 V23 V04 V24 V05 V25 V06 V26 V01 V21 V02
0 0 V00 V07 V14 V00 V07 V14 V00 V07 V14 V00 V07 V14
-1 V25 V06 V26 V01 V21 V02 V22 V03 V23 V04 V24 V05
-2 V25 V20 V26 V15 V21 V16 V22 V17 V23 V18 V24 V19
+2 V17 V23 V18 V24 V19 V25 V20 V26 V15 V21 V16 V22
+1 V03 V23 V04 V24 V05 V25 V06 V26 V01 V21 V02 V22
-1 0 V00 V07 V14 V00 V07 V14 V00 V07 V14 V00 V07 V14
-1 V05 V25 V06 V26 V01 V21 V02 V22 V03 V23 V04 V24
-2 V19 V25 V20 V26 V15 V21 V16 V22 V17 V23 V18 V24
Tableau III.8: Table de commutation de commande DTC
appliqué à l’onduleur à 3-niveaux de type NPC.

III.7.6 Structure générale de contrôle directe du couple sur un onduleur à trois


niveaux:

La structure générale de la commande directe du couple appliquée aux onduleurs à multi


niveaux pour une machine asynchrone est illustrée dans la figure III.14. Son principe de
fonctionnement est identique à celle ci quand on a la commande DTC à deux niveaux, la
particularité dans cette stratégie est que l’erreur instantanée du couple est calculée et
appliquée à un régulateur hystérésis à quadri bande, générant à sa sortie la variable ∆Cem à
cinq niveaux (-2,-1, 0, 1 ,2), représentative du sens d’évolution souhaitée pour le couple.
De même, l’erreur du flux statorique est située dans un régulateur hystérésis à double bandes,

55
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

générant à sa sortie la variable ∆𝜑 à trois niveaux (-1, 0, 1) représentative l’évolution


souhaitée pour le flux. La variable 𝜃 correspond à une discrétisation du plan de phase et
identifie le secteur angulaire dans lequel se trouve le flux statorique divisé en douze secteurs.

La sélection du vecteur de tension appliquée à la machine, est assurée par les signaux de
commande de l’onduleur, voir le tableau III.7.

Figure III.14: Schéma synoptique de la commande directe du couple pour


un onduleur à trois niveaux appliquée sur une machine asynchrone.

56
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.8 Résultats de simulation (DTC à deux niveaux) :


Vsa [V]

200
Vsa[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsb [V]

200
Vsb[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsc [V]

200
Vsc[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Le temps [s]

Figure III.15 : La réponse des tensions d’alimentation Vsa, Vsb, Vsc

1.2 Phis

1 Phis (Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0 0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Le temps [s]

Figure III.16 : La trajectoire du flux Figure III.17 : La réponse du


statorique dans le plan référentiel (α, β) module du flux statorique

57
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

20
120 Wr
15 Wr(Ref)

100
10
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
5 80 102

100
0 60
98

-5 0.48 0.5 0.52


40
-10

20
-15

-20 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Le temps [s] Le temps [s]

Figure III.18 : La réponse du Figure III.19 : La réponse de la


courant statorique vitesse de rotation

200 12
Ce
150 Ce(Ref)
10
Le Couple Electromagnétique [N.m]

100 +0.65
8

50
Vs(Axe Beta) [V]

6
0
4
-50

2
-100 -0.65

-150 0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Le temps [s]

Figure III.20 : La trajectoire des tensions Figure III.21 : La réponse du


d’alimentation dans le plan référentiel (α, β) couple électromagnétique

III.9 Interprétation des résultats:

La figure (III-15) montre la réponse des tensions statoriques qui sont générées par le
contrôleur de la DTC alimentée par un onduleur à deux niveaux.

La figure (III-16) illustre la trajectoire du vecteur flux circulaire sur le plan biphasé (α, β) par
la DTC à deux niveaux où on peut voir que la forme de sa projection est quasi circulaire avec
des ondulations importantes.

58
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Dans la figure (III-17) la réponse du flux statorique est très rapide par rapport à celle du
couple, afin de se stabiliser au tour de sa valeur désirée avec des ondulations remarquables.

Comme il est présenté sur la figure (III-21) la réponse du couple électromagnétique est
immédiate mais elle se présente avec des ondulations considérables (± . ,ce qui provoque
un défaut majeur qui caractérise la commande DTC, au niveau de la forme du courant
statorique, elle se présente sous forme sinusoïdale avec un pic de démarrage égal à 15 [A], ce
qui est montré sur la figure (III-18).

La réponse de la vitesse de rotation du moteur est instantanée, pour établir à sa consigne,


comme indique la figure (III-19), ce qui présente un des avantages les plus importants de la
commande DTC. Même son régulateur utilisé offre une grande robustesse contre les charges
appliquées.

La figure (III-20), montre la trajectoire de tensions statoriques sur le plan biphasé


(α, β), cette projection nous montre d’une façon bien claire les huit vecteurs de tension
appliquée par le contrôleur de la DTC alimentée avec un onduleur à deux niveaux.

Ces résultats obtenus, confirment les caractéristiques générales de la commande DTC


classique alimentée par un onduleur à deux niveaux, car les gains d’utilisation de cette
technique par rapport aux autres commandes vectorielles classiques (directe, indirecte) sont
représentés par la simplicité dans son schéma synoptique de sa commande, et la rapidité dans
le temps de réponse des grandeurs mesurées quelques soit leurs natures (électriques,
mécaniques, magnétiques).

Cependant, l’inconvénient majeur causé par cette technique est représenté par des ondulations
considérables aux niveaux de la réponse du flux statorique et particulièrement dans la réponse
du couple électromagnétique, car cette conséquence provoque une vibration considérable sur
l’actionneur électrique et peut causer la réduction du temps de vie des parties mécaniques
composées du système (désalignement de l’arbre de moteur, bruit audible, détérioration les
roulements ….etc.).

59
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

III.10 Résultats de simulation (DTC à multi-niveaux) :


Vsa[V]Vsa [V]

200
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsb[V]Vsb [V]

200
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsc [V]

200
Vsc[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Le temps [s]

Figure III.22 : La réponse des tensions d’alimentation Vsa, Vsb, Vsc

1.2 Phis
1 Phis(Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0 0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Le Temps [s]

Figure III.23 : La trajectoire du flux Figure III.24 : La réponse du


statorique dans le plan référentiel (α, β) module du flux statorique

60
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

20
120 Wr
Wr(Ref)
15
100

10
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
80 102
5
100
60
98
0
0.5 0.52
40
-5

-10 20

-15 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Le temps [s] Le temps [s]

Figure III.25 : La réponse du Figure III.26 : La réponse de la


courant statorique vitesse de rotation

200 16
Ce
150 14 Ce(Ref)
Le Couple Electromagnétique [N.m]

12
100

10
50
Vs(Axe Beta) [V]

8 +0.45
0
6
-50
4

-100
2
-0.45
-150
0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Le temps [s]

Figure III.27 : La trajectoire des tensions Figure III.28 : La réponse du


d’alimentation dans le plan référentiel (α, β) couple électromagnétique

III.11 Interprétation des résultats:

La figure (III-22) représente des tensions statoriques qui sont produites par le contrôleur de la
DTC alimentée par un onduleur à multi niveaux.

La figure (III-23) montre la forme de la trajectoire du vecteur flux sur le plan biphasé (α, β)
par la DTC à multi niveaux, où on peut voir que la forme de sa projection est parfaitement
circulaire avec moins d’ondulations.

61
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

La figure (figure III-24) illustre la réponse du flux statorique, aussi elle est très rapide par
rapport à celle du couple, afin de se stabiliser au tour de sa valeur souhaitée avec des
ondulations bien réduites.

Dans la figure (III-28) il y’a une représentation de la réponse du couple électromagnétique qui
est immédiate, ainsi elle se présente avec moins d’ondulations (𝑀𝑎𝑥 ± . , par rapport à
celle présentée dans la commande directe du couple alimentée par un onduleur à deux
niveaux(𝑀𝑎𝑥 ± . . Au niveau de la forme du courant statorique, elle se présente sous
forme sinusoïdale avec un pic de courant de démarrage égal à 15 [A], ce qui est illustré sur la
figure (III-25).

Comme indiqué sur la figure (III-26), la réponse de la vitesse de rotation du moteur est très
rapide, et sa régulation, ainsi elle offre une grande robustesse contre les charges appliquées.

La figure (III-27), montre la manière de la trajectoire de tensions statoriques sur le plan


biphasé (α, β). Cette projection nous montre d’une façon bien précise les vingt sept vecteurs
de tensions sélectionnés par le contrôleur de la DTC alimentée par un onduleur multi-
niveaux.

Au cours de ces résultats réalisés, si on compare avec la DTC pilotée par un onduleur à deux
niveaux seulement, l’application des onduleurs à multi niveaux dans la technique de
commande directe du couple nous permet d’avoir des améliorations considérables aux
niveaux des réponses du flux statorique et le couple électromagnétique. Mais pour les autres
grandeurs mesurées, il n’y avait pas des grandes modifications à remarquer. Cependant cette
commande dans ces nouvelles conditions, nécessite le développement de certains éléments de
base (régulateurs à hysistéris du flux et du couple, calculateur de zones du flux statorique).
Ainsi, elle exige un algorithme de commutation plus compliqué, ce qui doit avoir un
microcontrôleur ayant une forte capacité de calcul et une taille de mémoire assez grande.

III.12 Conclusion:

Dans ce chapitre, nous avons présenté les principes de bases de la commande directe du
couple appliquée sur un moteur asynchrone avec l’utilisation de deux types d’onduleurs.

Tout d’abord nous avons montré géométriquement et physiquement la manière d’évolution


des deux grandeurs principales qui sont présentées par le flux statorique et le couple
électromagnétique, après nous avons démontré la méthode pour élaborer les tables de
commutations qui représentent l’algorithme principale utilisé dans la commande DTC.

L’utilisation de la DTC par un onduleur à multi-niveaux donne des meilleures performances


par rapport à l’utilisation d’un onduleur à deux niveaux, ces améliorations touchent
essentiellement les réponses des grandeurs de base de la commande DTC (le flux statorique et
le couple électromagnétique).

62
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

Bibliographie
[1] I.Takahashi,T.Noguchi,‘A new quick response and high efficiency control strategy of
induction motor’. IEEE Transactions on Industrial Electronics, IE-22, 820-827.
(1986).
[2] D.Casadie,G.Serra,A.Tani,‘Implementation of a direct torque control algorithm for
induction motors based on discrete space vector modulation.’ IEEE Transactions on
Power Electronics, 15(4), 769-777.
[3] S.Belkacem,F.Naceri,R.Abdessemed,“Speed sensorless DTC of induction motor
drives using EKF”, ICEEA'08, International Conference on Electrical Engineering
and its Applications Sidi Bel-Abbes, May 20 – 21, 2008.
[4] Z.Zhang,R.Tang,B.Bai, and D.Xie,‘Novel Direct Torque Control Based on Space
Vector Modulation With Adaptive Stator Flux Observer for Induction Motors’, IEEE
transactions on Magnetics, vol. 46, no. 8, pp. 3133–3136, 2010.
[5] S.Chekroun,‘Commande Neuro-Floue Sans Capteur De Vitesse D’une Machine
Asynchrone Triphasée ‘; Ecole supérieur d’enseignement technologique D’Oran.
[6] Baghli.L.‘Modélisation Et Commande De La Machine Asynchrone’ , Note De Cours,
Iufm De Lorraine, Uhp, France. 2004.
M.Depenbrok,“Direct Self-Control (Dsc) Of Inverter Fed Induction Machine”, IEEE
[7]
Trans. Power Electron., Vol. Pe-3, Pp. 420–429, Oct 1988.
M.Pacas,J.Weber,‘Predictive Direct Torque Control For The Pm Synchronous
[8] Machine’,IEEE Transactions On Industrial Electronics, Vol. 52, No. 5, Pp. 1350–
1356, October 2005.
[9] X.Roboam,‘La Modélisation A La Commande Des Machines Asynchrones’ Edition
Interne Leei,1995.
[10] M.Aaltonen,P.Tiitinen,J.Lalu,S.Heikkila,‘Régulation Directe Du Couple
D’entrainements A Courant Triphasé’ In Révue Abb, Vol. 31995, Pp. 19-24
[11] C.Canudas De Wit,‘Modélisation Contrôle Vectoriel Et Dtc’, Editions Hermes
Science Europe Ltd, 2000.
[12] L.Hoang,’Comparison Of Filed-Oriented Control And Direct Torque Control’,
Ieee,1999.
[13] Carlos Canudasde Wit,‘Commande Des Moteur Asynchrones. Volume1, Modélisation
Contrôle Vectoriel Et Dtc ‘. Paris, Hermès Science Publications, 2000.
[14] A. Ameur,‘Commande Sans Capteur De Vitesse Par Dtc D’une Machine Synchrone A
Aimants Permanents Dotée D’un Observateur D’ordre Complet A Mode Glissants’
Université De Batna 2005.
[15] B.De Fornel,‘Techniques De L’ingénieur’, D3, 623.
[16] J.Belhadj,M.Pietrzak-David,A.Ghazel,I.Slama-Belkhodja,B.De Fornel,’Silding Modes
Observer For Direct Torque Control With An Optimised Switching Table’ Porc.
European Conf. On Power Electronics And Applications. Epe’99, Lausanne-
Switzerland, Sept. 7-9, 1999.
[17] P.Vas,‘Sensorless Vector And Direct Torque Control’, New York : Oxford Science
Publications, 1998.
[18] J.N.Nash,‘Direct Torque Control, Induction Motor Vectror Control Without An
Encoder’ ,IEEE, Trans. On Industry Applications, Vol. 33, N° 2, Pp 333-341, 1997.
[19] F.Elhachemi,‘Analyse De Fonctionnement Symetrique Et Asymétrique Des Onduleurs
Multiniveux ‘, Mémoire D’ingénieur En Electrotechnique De L’université De Batna,
2005.

63
Chapitre III : Principe de la Commande Directe du Couple d’un Moteur Asynchrone
Alimenté par deux types d’Onduleurs.

[20] D.Beriber,‘Machine Asynchrone A Double Stator Alimentée Par Onduleur A Trois


Niveaux A Structure Npc’ Thése De Magistère, Enp. Alger 2004.
[21] T.Lameche,‘Développement Et Implémentation Par Logique Floue D'une Commande
Dtc D'un Moteur A Induction Alimenté Par Un Onduleur De Tension Pwm’. Thèse De
Magister, Université De Sétif, 2003.
[22] A.M.A.Hissel,’Contrôle Direct Du Couple Electromagnétique De Machines
Asynchrones De Grande Puissance’, Thèse Doctorat Enseeiht Toulouse, 1999.
[23] A.M.Arcker-Hissel,M.Piterzak-David,B.De Fornel,‘Improved Variable Structure
Controllers Of High Power 3-Level Inverter-Fed Induction Motor Drivers’ , 2nd
Imacs International, Computational Engineering In Systems Applications Co-
Sponsored By Ieee, Nabeul- Hammamet, Tunisia . April 1-4, 1998.
[24] X.Wu,L.Huang,’Direct Torque Control Of Three-Level Inverter Using Neural
Networks As Switching Vector Selector’ Industry Applications Conference, 2001. Ieee
Volume 2, 30 Sept.-4 Oct. 2001 Page(S):939 - 944 Vol.2 10.1109/Ias.2001.
[25] R.Zaimeddine,E.M. Berkouk,’Enhanced Direct Torque Control Using A Three-Level
Voltage,Source Inverter’, Proceedings Of The 9th Wseas International Conference On
Systems, Athens, Greece Article No.63 Year Of Publication: 2005
ISBN:960-8457-29-7.
[26] X.D.T.Garcia,A.Arias,M.G.Jayne,P.A.Witting,‘Direct Torque Control Of Induction
Motors Utilizing Three-Level, Voltage Source Inverters’, IEEE Transactions On
Industrial -Electronics, Vol. 55, No. 2, February 2008.
[27] I.Messaïf,E.M.Berkouk,N.Saadia,‘Ripple Reduction In Dtc Drives By Using A Three-
Level Npc Vsi’, IEEE International Conference On Electronics Circuits And Systems,
Marrakech, December 11-14, 2007.
[28] I.Messaïf,E.M.Berkouk,N.Saadia,‘Contrôle Direct Du Couple D'une Machine
Asynchrone Alimentée Par Un Onduleur A 3- Niveaux De Tension’, Conférence
Internationale Jtea’06, Tunis, 2006.

64
Chapitre IV:

Commande Directe du Couple Appliquée


sur un Onduleur Multi-Niveaux par
Les Réseaux de Neurones Artificiels
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.1 Introduction et historique :

L’un des défis de l’homme aujourd’hui est de copier la nature et de reproduire les modes
de raisonnement et de comportement qui lui sont propre. Les réseaux de neurones sont nés de
cette envie, ils constituent une famille de fonctions non linéaires paramétrées, utilisées dans
de nombreux domaines (physique, chimie, biologie, finance, etc.), notamment pour
la modélisation du processus et la synthèse de lois de commande, leur application dans le
domaine de l’électronique de puissance est assez récente [2].

Les réseaux de neurones artificiels (RNA) ont été évoqués pour la première fois en 1943, dans
un article resté historique publié par Mc Culloch et Pitts [1]. Ils avaient réussi à créer le
neurone formel qui est la modélisation du neurone biologique et ils sont les premiers à
montrer que des réseaux de neurones formels simples peuvent réaliser des fonctions logiques,
arithmétiques et symboliques complexes.

Après un certain temps, en 1949, le physiologiste américain D.O Hebb, explique le


conditionnement chez l’animal par les propriétés des neurones eux-mêmes. Ainsi, un
conditionnement de type pavlovien tel que, nourrir tous les jours à la même heure un chien,
entraîne chez cet animal la sécrétion de salive à cette heure précise même en l’absence de
nourriture. La loi de modification des propriétés des connexions entre neurones qu’il propose
explique en partie ce type de résultats expérimentaux [3].

Quelques années plus tard, en 1957, F. Rosenblatt développe le modèle du Perceptron.


Il construit le premier neuro-ordinateur basé sur ce modèle et l’applique au domaine de la
reconnaissance de formes. Notons qu’à cette époque les moyens à sa disposition sont limités
et c’est une prouesse technologique que de réussir à faire fonctionner correctement cette
machine plus de quelques minutes.

En 1960, l’automaticien B. Widrow, développe le modèle Adaline (Adaptative Linear


Elément). Dans sa structure, le modèle ressemble au Perceptron, cependant la loi
d’apprentissage est différente. Celle-ci est à l’origine de l’algorithme de retro propagation de
gradient très utilisé aujourd’hui avec les Perceptrons multicouches. Les réseaux de type
Adaline restent utilisés de nos jours pour certaines applications particulières.

En 1969 : M. Minsky et S. Papert publient un ouvrage qui met en exergue les limitations
théoriques du perceptron. Limitations alors connues, notamment concernant l’impossibilité de
traiter par ce modèle des problèmes non linéaires. Ils étendent implicitement ces limitations à
tous les modèles de réseaux de neurones artificiels. Leurs objectifs sont atteints, il y a un
abandon financier des recherches dans le domaine (surtout aux U.S.A.), les chercheurs se
tournent principalement vers l’IA et les systèmes à bases de règles.

Entre 1970 et 1980 peu d’articles furent publiés en raison de la faible quantité de chercheurs
intéressés.

65
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Au début des années quatre-vingt (80), les recherches dans ce domaine ont repris surtout après
la publication du travail de J.J. du physicien Hopfield en 1982, qui a reconnu à qui l’on doit
le renouveau d’intérêt pour les réseaux de neurones artificiels. Il présente une théorie du
fonctionnement et des possibilités des réseaux de neurones.

Par contre, dans la technique de la haute tension, les RNA ne sont pas apparus qu’en 1994,
après la publication d’A.A. Mazroua et al [4] dans ‘The Institute of Electrical and Electronics
Engineers (IEEE)’ d’un article traitant de la reconnaissance des tailles d’impulsions de
décharges partielles. Depuis, les travaux se sont succédés et ont touché tous les domaines de
la haute tension.

Dans ce chapitre nous allons présenter un aperçu sur les réseaux de neurones artificiels, puis
on passera à leurs applications sur l’algorithme de commande DTC à onduleur multi-niveaux
pour tester ses performances par cette méthode proposée.

IV.2 Le neurone biologique :

Le système nerveux compte plus de 1000 milliards de neurones interconnectés. Bien que les
neurones ne soient pas tous identiques, leurs formes et certaines caractéristiques permettent de
les répartir en quelques grandes classes. En effet, il est aussi important de savoir, que les
neurones n'ont pas tous un comportement similaire en fonction de leur position dans le
cerveau.

Les neurones sont des cellules nerveuses décomposables, en trois parties principales (Figure
IV.1) :

 Les dendrites : leurs rôles est de capter l’information provenant des autres neurones.
Cette information se présente sous la forme de médiateurs chimiques dite
neurotransmetteurs libérés dans les synapses. La capture de ces substances par les
dendrites donne naissance à un signal électrique appelé potentiel d’action qui est
acheminé vers le corps cellulaire.
 L’axone : c'est le long de l'axone que les signaux partent du neurone. Contrairement
aux dendrites qui se ramifient autour du neurone, l'axone est plus long et se ramifie à
son extrémité où il se connecte aux dendrites des autres neurones. Sa taille peut varier
entre quelques millimètres à plusieurs mètres [5].
 Les synapses : sont des jonctions entre deux neurones, et généralement entre l'axone
d'un neurone et une dendrite d'un autre neurone.

66
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Figure IV.1 : Structure d'un neurone biologique.

IV.3 Le neurone artificiel:

Les réseaux de neurones artificiels sont des réseaux fortement connectés de processeurs
élémentaires fonctionnant en parallèle. Chaque processeur élémentaire calcule une sortie
unique sur la base des informations qu'il reçoit. Toute structure hiérarchique de réseaux est
évidemment un réseau.

Le neurone formel est un modèle mathématique simplifié du neurone biologique, il présente


un certain nombre d’entrées, les dendrites, un corps traitant les entrées suivant la méthode du
tout ou rien, et un axone véhiculant la réponse du neurone. La première modélisation d’un
neurone découle des résultats des travaux significatifs de Mac Culloch et Pitts (1943).

Un neurone est donc avant tout un opérateur mathématique, dont on peut calculer la valeur
numérique par quelques lignes de logiciel. On a pris l'habitude de représenter graphiquement
un neurone comme indiqué sur la figure IV.2.

Figure IV.2 : Modèle d'un neurone artificiel.

67
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Le modèle de la figure (IV.2) est composé de :

 Des entrées du neurone formel ( : elles proviennent soit des sorties d’autres
neurones, soit de stimuli sensoriels (capteur visuel, sonore…) ;
 Des paramètres de pondération (les poids" ") : Ils correspondent à l’efficacité
synaptique dans les neurones biologiques (  𝟎: synapse excitatrice ;  𝟎: synapse
inhibitrice). Ces poids pondèrent les entrées et peuvent être modifiés par
l’apprentissage ;
 Une unité de sommation : son rôle est de faire la somme de multiplication les entrées
par ses poids.
 la fonction d’activation : elle peut être sous différentes formes (linéaire, non linéaire,
forme en sigmoïde, etc.…), elle est de faire pour la comparaison entre la valeur de la
sommation avec celle du seuil, pour valider la valeur de la sortie.
 Une sortie du neurone formel : Elle peut être binaire ou réelle.

Donc, la sortie 𝑢 de l’intégrateur est donnée par l’équation suivante :

𝑢 =∑= ± (IV.1)

= + +⋯+ ±

Que l’on peut aussi écrire sous forme matricielle :


𝑇
𝑢 = ± (IV.2)

Cette sortie correspond à une somme pondérée des poids et des entrées plus ce qu’on nomme
le biais b du neurone. Le résultat s de la somme pondérée s’appelle le niveau d’activation du
neurone. Le biais b s’appelle aussi le seuil d’activation du neurone. Lorsque le niveau
d’activation atteint ou dépasse le seuil b, alors l’argument de f devient positif (ou nul). Sinon,
il est négatif [6].

Et a partir de cette valeur, une fonction d’activation calcule la valeur de sortie j du neurone.
C’est cette valeur qui sera transmise aux neurones avals par cette équation:

= 𝜑(𝑢 ) (IV.3)

IV.3.1 La fonction d’entrée :

Elle définit le pré-traitement des entrées. Elle peut être :

 booléenne ;
 linéaire : 𝐸 = ℎ … =∑= … ;
 affine : 𝐸 = ℎ … =∑= … − ;
 polynomiale de degré supérieur à deux.

68
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.3.2 La fonction d’activation :

La fonction d’activation définit l’état du neurone en fonction de son entrée totale.


Elle présente la relation qui lie l’ensemble des potentiels d’activation et décision du neurone.
Le tableau (IV.1) donne quelques fonctions d’activations utilisées dans les réseaux
de neurones [7] ,[23]. Les fonctions d'activation les plus utilisées sont les fonctions ‘seuil’
(‘hard limit’), ‘linéaire’ et ‘sigmoïde’. Comme son nom l’indique, la fonction seuil applique
un seuil sur son entrée. Plus précisément, une entrée négative ne passe pas le seuil, la fonction
retourne alors 0 la valeur 0 (on peut interpréter ce 0 comme signifiant faux), alors qu’une
entrée positive ou nulle dépasse le seuil, et la fonction retourne à 1 (vrai).

Tableau IV.1 : Les différentes fonctions d'activations les plus utilisées dans les RNA

IV.3.3 La fonction de sortie :

Elle calcule la sortie (S) du neurone en fonction de son état d’activation (A). Elle est
généralement considérée comme la fonction identité : S = g (A) = A = f (E). Qui concerne la
nature de la sortie du neurone, elle peut être binaire ou réelle.

69
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.4 Architecture des réseaux de neurones:

L’architecture d’un réseau de neurones est l’organisation des neurones entre eux au sein d’un
même réseau. Autrement dit, il s’agit de la façon dont ils sont ordonnés et connectés. La
majorité des réseaux de neurones utilise le même type de neurones. Quelques architectures
plus rares se basent sur des neurones dédiés. L’architecture d’un réseau de neurones dépend
de la tâche à apprendre (problème à résoudre). Un réseau de neurone est en général composé
de plusieurs couches de neurones, des entrées jusqu’aux sorties [8].

 Couche d’entrée : les neurones de cette couche reçoivent les valeurs d’entrée du
réseau et les transmettent aux neurones cachés. Chaque neurone reçoit une valeur. Il ne fait
pas donc de sommation.
 Couche(s) cachée(s) : chaque neurone de cette couche reçoit l’information de
plusieurs couches précédentes, effectue la sommation pondérée par les poids, puis la
transforme selon sa fonction d’activation qui est en général, une fonction sigmoïde. Par la
suite, il envoie cette réponse aux neurones de la couche suivante.
 Couche de sortie : elle joue le même rôle que les couches cachées. La seule différence
entre ces deux types de couches est que la sortie des neurones de la couche de sortie n’est liée
à aucun autre neurone [27].

Dans le monde de réseaux de neurones, ils existent deux principaux types qui sont :
les réseaux non bouclés et les réseaux bouclés.

IV.4 .1 Les réseaux non bouclés : (feedforward)

Ce sont des réseaux unidirectionnels sans retour arrière (feedforward). Le signal de sortie
est directement obtenu après l’application du signal d’entrée. Si tous les neurones ne sont pas
des organes de sortie, on parle de neurones cachés (Figure IV.3) [9].

Les réseaux dotés de ce type de connexions sont appelés réseaux à couches ou réseaux
statiques, ils n’ont pas de véritable comportement dans le temps et ils possèdent une
ou plusieurs couches cachées. L’état de sortie de chaque neurone dépend seulement des
sorties des neurones de la couche précédente.

Figure IV.3 : Réseau non bouclé.

70
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.4 .2 Les connexions récurrentes:(feedback network or recurrent network)

Il s’agit de réseaux de neurones avec un retour en arrière (feedback network ou


récurrent network) (Figure IV.4). Dont le graphe des connexions est cyclique : lorsqu’on se
déplace dans le réseau en suivant le sens de connexions, il est possible de trouver au moins un
chemin qui revient à son point de départ (un tel chemin est désigné sous le terme de ‘ cycle ‘.
La sortie d’un neurone du réseau peut donc être fonction d’elle-même ; cela n’est évidemment
concevable que si la notion de temps est explicitement prise en considération [3].

Les réseaux de neurones dotés de cette structure de connexions sont caractérisés par des
comportements dynamiques pouvant être plus ou moins complexes selon les contraintes
d’architecture qu’ils comportent.

Notons que les connexions entre les neurones peuvent être totales ou partielles. Cependant,
dans la première structure, chaque neurone entretien des relations avec un nombre réduit
et localisé de neurones de la couche aval, par contre, dans la deuxième structure, chaque
neurone est connecté à tous les neurones du réseau.

Figure IV.4: Réseau bouclé.

Remarque :

Dans un réseau de neurones non bouclé, le temps ne joue aucun rôle fonctionnel, si les entrées
sont constantes, les sorties sont également. Le temps nécessaire pour le calcul de la fonction
réalisée par chaque neurone est négligeable et on peut considérer ce calcul comme instantané.
Pour cette raison, les réseaux non bouclés sont souvent appelés ‘réseaux statiques’ par
opposition aux réseaux bouclés ou « dynamique ». Ils sont utilisés en classification,
reconnaissance des formes (caractères, parole,…) en prédiction.

IV.5 Quelques types des réseaux célèbres :

Il y a de très nombreuses sortes de réseaux de neurones actuellement. Personne ne sait


exactement combien. De nouveaux réseaux (ou du moins des variations de réseaux plus
anciens) sont inventés chaque semaine. On en présente ici de très classiques.

71
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.5.1 Le perceptron simple : C’est un des premiers réseaux de neurones, conçu en 1958
par Rosenblatt. Il est linéaire et monocouche. Il est inspiré du système visuel. La première
couche (d’entrée) représente la rétine. Les neurones de la couche suivante (unique, d’où le
qualificatif de monocouche) sont les cellules d’association et la couche finale des cellules de
décision. Les sorties des neurones ne peuvent prendre que deux états (-1 et 1 ou 0 et 1).

IV.5.2 Les perceptrons multicouches MPL : Ils sont une amélioration du perceptron
comprenant une ou plusieurs couches intermédiaires dites couches cachées, dans le sens où
elles n’ont qu’une utilité intrinsèque pour le réseau de neurones et pas de contact direct avec
l’extérieur. Chaque neurone n’est relié qu’aux neurones des couches directement précédente
et suivante, mais à tous les neurones de ces couches. Ce type de réseaux, agissent comme un
séparateur non linéaire et peuvent être utilisés pour la classification, le traitement de l’image
ou l’aide à la décision

IV.5.3 Le modèle Adaline : L’adaline (Adaptatif Linéaire Elément) conçu par B. Widrow
dans les années 1960, est un perceptron sans couche cachée donc à un seul neurone qui reçoit
le stimulus arrivant de la couche d’entrée et donne la réponse correspondante.

IV.5.4 Les réseaux de Hopfield : Il s’agit d’un réseau constitué de neurones à deux états
(-1 et 1, ou 0 et 1), dont la loi d’apprentissage est la règle de Hebb(1949), qui veut qu’une
synapse améliore son activité si et seulement si l’activité de ses deux neurones est corrélée
(c’est-à-dire que le poids d’une connexion entre deux neurones augmente quand les deux
neurones sont activés en même temps).

IV.5.5 Les réseaux de Kohonen : Contrairement aux réseaux de Hopfield où les


neurones sont modélisés de la façon la plus simple possible, on recherche ici un modèle de
neurone plus proche de la réalité. Ces réseaux sont inspirés des observations biologiques du
fonctionnement des systèmes nerveux de perception des mammifères. Une loi de Hebb
modifiée (tenant compte de l’oubli) est utilisée pour l’apprentissage.La connexion est
renforcée dans le cas ou les neurones reliés ont une activité simultanée et diminuée dans le cas
contraire (alors qu’il ne se passait précédemment rien dans ce cas).

IV.6 Apprentissage des réseaux de neurones :

La phase d’apprentissage dépend beaucoup de la structure du réseau. L’apprentissage est


considéré comme une tâche de construction de nouvelles connaissances ou amélioration des
connaissances existantes dont le rôle est de définir les poids de chaque connexion [10].
Le but de cette phase est d’améliorer les performances du système en tenant compte des
ressources et des compétences dont il dispose. L’apprentissage et l’adaptation constituent
deux caractéristiques essentielles des réseaux de neurones. Lorsque la phase d’apprentissage
est achevée, le réseau doit être capable de faire les bonnes associations pour les vecteurs
d’entrées qu’il n’aura pas appris. C’est l’une des propriétés importante dans les réseaux de

72
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

neurones. Généralement, il y’a deux différentes d'apprentissage qui sont : l'apprentissage hors
ligne et l'apprentissage en ligne.

IV.6.1 L'apprentissage hors ligne : Ce mode d'apprentissage consiste à accumuler les


erreurs instantanées consécutives, et à n'effectuer l'adaptation des poids synaptiques que
lorsque l'ensemble des données d'apprentissage ont été présentées au réseau. Ce mode permet
de mieux estimer le gradient réel de la fonction coût, puisqu'il est à présent calculé à partir
d'un ensemble d'exemples, plutôt qu'à partir d'un seul.

IV.6.2 L'apprentissage en ligne : L’apprentissage en ligne est plus dynamique, en


mettant à jour l'estimation courante par l'observation des nouvelles données une par une. Donc
elle est une procédure itérative. Ce type d'apprentissage en général est lent mais son avantage
est utilisé dans des environnements changeants car il consiste à modifier les valeurs des poids
synaptiques immédiatement après la présentation d'un exemple donné.

IV.7 Type d'apprentissage des réseaux de neurones:

IV.7.1 Apprentissage supervisé :

Un superviseur, ou professeur, fournit au réseau des couples d’entrées-sorties. Il fait


apprendre au réseau l’ensemble de ces couples, par une méthode d’apprentissage, en
comparant pour chacun d’entre eux la sortie effective du réseau et la sortie désirée. En
pratique, les connaissances de ce professeur prennent la forme d’un ensemble de Q couples de
vecteurs d’entrée et de sortie que nous noterons {(p1,d1),(p2,d2),...,(pQ,dQ)}, où pi désigne un
stimulus (entrée) et di la cible pour ce stimulus, c’est-à-dire les sorties désirées du réseau.
Chaque couple (pi,di) correspond donc à un cas d’espèce de ce que le réseau devrait
produire(la cible) pour un stimulus donné. Pour cette raison, l’apprentissage supervisé est
aussi qualifié d’apprentissage.

Figure IV.5: Apprentissage supervisé.

IV.7.2 Apprentissage non supervisé :

Ce réseau de neurones évalue lui-même ses performances, sans l’aide d’un ‘professeur’.
Un objet est présent à l’entrée du réseau de neurones, à qui on a indiqué la classe à laquelle
appartient cet objet. Si le réseau ne le classe pas correctement, il mesure lui-même l’erreur qui
la faite, et propage cette erreur vers l’entrée. Le réseau procède à autant d’itérations qu’il est

73
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

nécessaire jusqu’à obtenir la réponse correcte. Certaines architectures de ces modèles sont
basées sur les principes des structures vivantes : tels que la théorie de l’évolution et de la
génétique. Les travaux ont débuté, depuis les années soixante (60), mais sont restés limités en
raison de l’absence d’un modèle mathématique exact de ces réseaux. Leur comportement
mathématique fut déterminé à partir des années quatre-vingts (80) grâce aux travaux de
Hopfield, de M. Cohen et de S. Grosberg. Ces résultats ont donné un grand élan à la recherche
dans ce domaine. [4]

La réadaptation des poids d’éléments d’entrée est autonome. L’apprentissage qui se fait par
calcul de distances [4], nécessite uniquement les valeurs des entrées. Ce type d’apprentissage
est très utile dans les applications de classification.

La première règle d’apprentissage connexionniste proposée par Hebb (Hebb, 1949) était non
supervisée, elle était basée sur la proportionnalité entre la modification de l’efficacité des
connexions entre deux neurones et l’activité simultanée de ces deux neurones.

Figure IV.6: Apprentissage non supervisé.

IV.7.3 Apprentissage semi supervisé :

Le mode semi supervisé suppose qu’un comportement de référence précis n’est pas
disponible, mais qu’en revanche, il est possible d’obtenir des indications qualitatives
(correct/incorrect) sur les performances du réseau.

IV.7.4 Apprentissage hybride :

Le modèle hybride reprend en fait les deux approches;supervisé et non supervisé,


puisque une partie des poids va être déterminée par apprentissage supervisé et l’autre partie
par apprentissage non supervisé.

IV.8 Les algorithmes des méthodes d’apprentissage utilisés dans les réseaux de neurones:

Les connaissances de l’expert ont une forme énumérée, elles sont exprimées sous forme de
règles. Dans le cas des réseaux de neurones, les connaissances ont une forme distribuée, elles
sont codées dans les poids des connexions, la topologie du réseau, les fonctions de transfert de
chaque neurone, le seuil de ces fonctions, la méthode d’apprentissage utilisée. Il existe un
certain nombre de méthodes d’apprentissage [13], mais les plus utilisées sont :

IV.8 .1 Méthode de Gauss-Newton :

Dans cet algorithme, on utilise une approximation RN du Hessien donnée par :

74
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

′′
𝑅𝑁 (𝜃 ) = ∑ ѱ (𝑘, 𝜃 ) 𝜉(𝑘, 𝜃 ) . ѱ𝑇 (𝑘, 𝜃 ) (IV.1)
𝑁

Avec :

𝑅𝑁 (𝜃 ) : Hessien de Gauss-Newton.
𝜕𝑦̂
ѱ𝑇 (𝑘, 𝜃 ) = : Le gradient de ̂ 𝑘, 𝜃 par rapport à 𝜃.
𝜕𝜃
̂ 𝑘, 𝜃 : Est la sortie estimée par le réseau.
′′ ѱ𝑇 ( ,𝜃𝑖 )
𝜉(𝑘, 𝜃 ) : Est un bruit blanc corrélé avec (IV.2)
𝜃𝑇

L’algorithme de Gauss-Newton est donné par :




𝜃 = 𝜃 − 𝑅𝑁 (𝜃 ) . 𝑁 (𝜃 ) (IV.3)
𝑁 (𝜃 ): Le gradient.

La direction de Gauss-Newton est calculée par :


𝑅𝑁 (𝜃 ). = − 𝑁 (𝜃 ) (IV.4)

IV.8 .2 Méthode de de Levenberg-Marquardt :

La méthode de Levebreg-Marquardt (levenberg’1994’, Marquardt‘1963’,) est


particulièrement astucieuse car elle s'adapte d'elle- même à la forme de la fonction de coût.
Elle effectue un compromis entre la direction du gradient et la direction donnée par la
méthode de Newton.

L’apprentissage d’un réseau de neurone par l’algorithme de Levenberg-Marquardt est très


sensible à l’initialisation des poids des neurones. Une mauvaise initialisation du réseau peut
conduire à ce que l’optimum trouvé ne soit qu’un optimum local. Dans le cadre d’un
entrainement hors ligne, cette situation peut être évitée par l’application de la validation
croisée entre différents modèles ayant des paramètres d’initialisation différents. [3][16]

Cette méthode utilise l’approximation suivante :

𝑁 ≈ 𝑅𝑁 (𝜃 ) + 𝜆 (IV.5)

Où : I est la matrice identité et 𝜆 un scalaire positif ou nul. La direction de Levenberg-


Marquardt est calculée à partir de :

(𝑅𝑁 (𝜃 ) + 𝜆 ). = − 𝑁 (𝜃 ) (IV.6)
L’algorithme s’écrit donc :
− −
. 𝜃 = 𝜃 − (𝑅𝑁 (𝜃 ) + 𝜆 ) . 𝑁 (𝜃 ) (IV.7)

La direction de recherche dépend de la variation de 𝜆 . Autrement dit, quand 𝜆 tend vers ∞ la


quantité 𝑅𝑁 (𝜃 ) devient négligeable 𝜆 , et on retrouve devant la méthode du gradient avec un
pas proche de zéro. Par contre, si 𝜆 =0, on retrouve simplement la méthode de Gauss-

75
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Newton. Donc en ajustant 𝜆 , la direction de recherche varie entre celle du gradient et celle de
Gauss-Newton.

Nous obtenons alors un algorithme d’estimation hors-ligne des paramètres alliant la rapidité
de convergence des méthodes du deuxième ordre à la sureté de convergence vers un minimum
local des méthodes du premier ordre [14].

IV.9 Les avantages et les inconvénients des réseaux de neurones :

IV.9.1 Les avantages des réseaux de neurones :

 Possibilité de représenter n’importe quelle fonction, linéaire ou pas, simple ou complexe


 L’apprentissage et la construction du modèle est pratique ;
 Grande capacité d’adaptation au bruit ou au manque de fiabilité des données ;
 Simple à manier, beaucoup moins de travail personnel à fournir que dans l’analyse
statistique classique. Aucune compétence en math, informatique statistique requise ;
 Comportement moins mauvais en cas de faible quantité de données ;
 L’idée d’apprentissage est plus simple à comprendre que les complexités des
statistiques multi variables.

IV.9.2 Les inconvénients des réseaux de neurones :

 il n’existe pas de règle générale pour déterminer la topologie des réseaux (le nombre de
couches cachées et le nombre de neurones par couche), sachant que le problème critique
pendant l’apprentissage est de trouver un réseau assez large pour bien apprendre mais
également assez petit pour bien généraliser, mais les couches entrées et sorties sont bien
sur imposées, puisque le nombre de neurones qu’elles admettent dépend du problème
posé;
 Le choix aléatoirement des valeurs initiales des poids du réseau et détermination le
réglage du pas exacte d’apprentissage, qui jouent un rôle important dans la vitesse de
convergence ;
 La connaissance acquise par un réseau de neurone est codée par les valeurs des poids
synaptiques, les réseaux de neurones sont donc des boites noires où les connaissances
sont inintelligibles pour l’utilisateur.

Nous avons présenté dans cette partie du chapitre, les principes de base des réseaux de
neurones, par la suite, on a établit la conception des modèles plus complexes grâce à
l’évolution de la neurobiologie et à l’utilisation d’outils mathématique plus puissantes dans
les algorithmes d’apprentissage.

L’opération d’intégration des réseaux de neurones artificiels dans le contrôle ou dans la


commande du système, pourrait être d’un intérêt particulier pour l’identification du processus.
L’adaptation du réseau de neurone est faite pour permettre de bien commander le système ce
qui sera présenté dans le reste de ce chapitre.

76
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.10 Identification et commande des processus par réseaux de neurones :

En général le but de la commande est d’utiliser des contrôleurs afin de forcer un système à
suivre un comportement bien spécifique. Il y a une multitude de méthodes qui adoptent des
contrôleurs classiques et qui donnent de bonnes performances dans le cas des systèmes
linéaires comme le PID, RST, retour d’état, …etc.

Mais quand il s’agit des systèmes non linéaires et c’est le cas de la plupart des systèmes réels,
ces méthodes sont peu performantes, pour cette raison, les réseaux de neurones sont un des
techniques les plus utilisés dans ce genre de commande [11].

Donc, la commande de processus au moyen des réseaux de neurones, a connu un très grand
essor cette dernière décennie. La vision de la biologie a pris, dans le domaine de la théorie des
systèmes dynamiques, une tournure particulièrement intéressante. Elle a ouvert des
perspectives de compréhension à la fois plus large et plus méfiantes. Dans le but d’élargir son
champ d’application, la théorie de contrôle ressent actuellement un besoin d’intégrer de
nouveaux concepts regroupés sous le terme de commande intelligente.

La plupart des commandes utilisant un réseau de neurones en tant que contrôleur se


distinguent par une étape d’identification et une étape de contrôle.

L’identification consiste à élaborer un modèle neuronal qui est une estimation du processus à
commander et cela au moyen d’une phase d’apprentissage. Celle-ci peut être soit préalable
(hors ligne), ou bien elle peut se faire intégralement en ligne.

Le contrôle utilise les connaissances acquises pendant la phase d’identification et/ou de


l’apprentissage en ligne pour élaborer des signaux de commande. Un apprentissage en ligne,
pendant la commande du système, est intéressant si des perturbations viennent affecter le
processus ou son environnement [12].

Le principe de l’identification par réseaux de neurones consiste à substituer aux modèles


paramétriques classiques des modèles neuronaux, c’est-à-dire proposer un modèle établissant
une relation entre son entrée et sa sortie et à déterminer à partir du couple des signaux
d’entrée-sortie, le comportement du modèle. Deux raisons importantes nous motivant [12] :

 Prédire le comportement d’un système pour différentes conditions de fonctionnement.


 Elaborer une loi de commande à appliquer au processus, pour qu’il réalise l’objectif
assigné.

IV.11 Lés étapes à suivre pour la conception d’un réseau de neurones :

Les réseaux de neurones réalisent des fonctions non linéaires paramétrées. Leurs mises en
œuvre exigent :
 La détermination des entrées et des sorties pertinentes, c’est-à-dire les grandeurs qui ont
une influence significative sur le phénomène que l’on cherche à modéliser.

77
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

 L’assemblage des données nécessaires à l’apprentissage et à l’évaluation des


performances du réseau de neurones.
 Détermination du nombre nécessaire de neurones dans chaque couche cachée, ainsi le
nombre des couches cachées et les types des fonctions d’activations pour obtenir une
approximation satisfaisante.
 Lancement de l’apprentissage.
 Réessayer les étapes précédentes si le réseau de neurones ne répond pas aux exigences
désirées, sinon ce réseau est prés de l’utiliser.

L’organigramme suivant résume le principe de raisonnement pour la conception d’un réseau


de neurones artificiel (Figure IV.7), [21].

Figure IV.7:Organigramme illustrant les démarches pour établir un réseau de neurones.

IV.12 Application les réseaux de neurones dans la DTC à multi-niveaux :

La propriété d’approximation universelle des réseaux de neurones, on peut l’énoncer de la


façon suivante [20] :

‘Toute fonction bornée suffisamment régulière peut être approchée uniformément, avec une
précision arbitraire, dans un domaine fini de l’espace de ses variables, par un réseau de

78
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

neurones comportant – au moins – une couche de neurones cachés en nombre fini, possédant
tous la même fonction d’activation et un neurone de sortie linéaire’.

Cette propriété justifie l’utilisation de l’architecture à présenter par la suite, comme montre ce
théorème, le nombre de neurones cachés doit être choisi d’une façon convenable qui assure
une précision parfaite pour établir une convergence rapide et garantie.

L’idée principale dans cette étude est de remplacer le sélecteur conventionnel de l’état des
interrupteurs d’onduleur par un sélecteur neuronal capable de gérer de la même façon les
signaux de commande de ces derniers [17].

Comme déjà mentionnée, l’application de la technique DTC pour une alimentation par un
onduleur de tension multi-niveaux de type NPC est assurée par un sélecteur conventionnel
(table de commutation) à douze secteurs et vingt-sept (27) vecteurs de tension.

Cette étude proposée de construire un sélecteur neuronal qui va générer des séquences direct
pour commander l’onduleur par un réseau de neurones qui a l’architecture suivante :
Une couche d’entrée avec 03 neurones, pas une décision au début s’il y’a une ou plusieurs
couche(s) cachée(s), même chose pour le nombre constitué pour ses neurones, et dans la
couche de sortie avec un seul neurone.

La structure de réseau de neurone ANN proposée est montrée dans la figure (IV.8), les entrées
du sélecteur neuronal sont les états du flux, du couple et la position angulaire (θ) ou bien la
zone N du vecteur flux statorique. La sortie de ce sélecteur représente le vecteur optimal de
tension Vs. [17], [18], [19].

Figure IV.8: L’architecture du réseau de neurones proposé.

IV.13 La modélisation neuronale :

IV.13.1 Les étapes de modélisation :

La modélisation par les réseaux de neurones de ce contrôleur, doit passer par les étapes
suivantes :

79
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

 Conception d’une base de données qui relie les entrées et les sorties, ainsi déterminer
(la méthode d’apprentissage, le type d’apprentissage, le pas d’apprentissage, nombre
d’itérations, la précision souhaitée pour le test d’arrêt) ;
 Choix d’un réseau de neurones par entrainer sur la base d’apprentissage et la base de
validation avec un algorithme convenable pour réaliser une convergence entre ce réseau
et son model ;
 Si possible, comparer les performances du réseau obtenu avec la base de test d’autres
réseaux supplémentaires qui n’ont pas la même topologie pour choisir le meilleur.

IV.13.2 Conception de la base de données :

L’apprentissage d’un réseau de neurones agit avec une base de données représentative sur
le domaine de fonctionnement. Notre contrôleur neural reçoit les informations sur
l’évolution du flux, l’évolution du couple, et la position de flux statorique, par ces
informations on va faire une combinaison entre les relations de ces entrées et la représenter à
la dépendance avec la sortie du contrôleur.

Chaque cas représente les entrées (∆𝜑𝑠 , ∆𝐶 , 𝜃𝑠 ) et la sortie (Vs) qui dépendent, donc ce
contrôleur neural doit recevoir les informations de ces entrées pour avoir la décision
convenable dans sa sortie, la répartition des données est comme suit:

 ∆𝜑𝑠 l’erreur du flux ses valeurs  [-1:1]  (Entrée).


 ∆𝐶 l’erreur du couple ses valeurs  [-2:2]  (Entrée).
 la position de l’angle de flux statorique ses valeurs  [1:12]  (Entrée).
 𝑉𝑠 Le vecteur de tension sélectionné par le régulateur neural entre [V0 :V26]  (Sortie).

Le nombre totale des combinaisons (Entrées/Sorties) sont : 3x5x12 = 180 combinaisons.

IV.13.3 Déroulement de l’apprentissage :

Le déroulement d’apprentissage est fait selon ces données :

 L’apprentissage est supervisé (figure IV.9).


 L’algorithme d’apprentissage est par la méthode de Levebreg-Marquardt .
 Les fonctions d’activation initiales utilisées sont : la fonction sigmoïde pour la/les
couche(s) cachée(s) et linéaire pour la couche de sortie.
 L’architecture optimale du réseau et le nombre des exemples sont déterminés au cours
de l’apprentissage.

La base de données d’apprentissage est constituée des entrées (∆𝜑𝑠 , ∆𝐶 , 𝜃𝑠 ) appliquées au


model ANN et la sortie désirée (Vs) qui sont les sorties représentées par (Yd) .

Les coefficients sont estimés de manière à minimiser une erreur d’approximation définie à
partir de l’écart (Yd- Y) à l’aide d’un algorithme d’apprentissage utilisé.

80
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Le but de l’apprentissage est d’estimer les coefficients (poids et biais) du réseau de neurones.
Le schéma de la figure IV.9 représente le système d’apprentissage pour modéliser le
contrôleur neuronal.

Figure IV.9: L’opération d’apprentissage utilisée pour la modélisation du contrôleur


Neuronal de DTC à multi-niveaux.

Où :
Yd : La sortie réelle de contrôleur DTC (les vecteurs de tensions optimaux);
Y : La sortie calculée par le contrôleur DTC neuronal ( les vecteurs de tensions Estimés) ;
e : L’erreur de la modélisation ( la convergence de l’apprentissage).

Par la suite, dans cette étape, il faudra trouver une structure optimale du réseau de neurones,
nombre de couches cachées suffisantes, nombre de neurones dans chaque couche cachée,
ainsi la fonction d’activation pour chaque couche qui existe.

Chaque fois après l’apprentissage Off-line, on insère le contrôleur neuronal dans le système
entier, et contrôler ses performances avec son fonctionnement, si le réseau n’arrive pas à
converger ou bien l’erreur décroît lentement, on refait la même tâche avec un autre réseau par
modification dans les nombres de neurones de chaque couche cachée et parfois même on a
besoin de changer également les fonctions d’activations qui donnent une convergence rapide
dans l’apprentissage.

Pour avoir un meilleur réseau de neurones, il faut aussi augmenter les nombres d’itérations
avec une grande précision pour assurer un apprentissage parfait.

Comme montre la figure (IV.10. (a)), l’apprentissage et l’optimisation du réseau précédent est
accompli par un programme sur l’environnement de Matlab-Simulink, le réseau optimisé
obtenu possède une couche d’entrée de trois neurones, deux couches cachées avec 45 et 55
neurones respectivement et une couche de sortie avec un seul neurone.

Ainsi, pour les fonctions d’activation choisies finalement, on a désigné la fonction


logarithmique pour la première couche cachée ‘Logsig’, la fonction tangente pour la
deuxième couche cachée ‘Tangsig’, et dans la couche de sortie, il y’a que la fonction linéaire
‘Purelin’ donnée obligatoirement par la définition.

81
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

La méthode d’apprentissage qui était utilisée, est la méthode de Levenberg-Marquardt (LM)


comme on a mentionnée déjà et la méthode de calcul de l’erreur est la méthode de moindre
carrée (Squared Error), avec un nombre total d’itérations de 10.000 et la tolérance acceptable
de l’erreur est fixée à 10-3. Notre réseau est établi son état final dans la 652eme itération avec
une erreur obtenue = 6.2916 10-7 (Figure IV.10(b)).

(a) (b)

Figure IV.10: L’opération (a) et l’évolution d’’apprentissage (b) de réseau neurones pour
DTNNC sous logiciel Matlab-Simulink.

Le tableau IV.1 résume les caractéristiques du réseau optimisé pour la modélisation de


contrôleur DTNNC :

Propriete Caracteristique
Architecture 3-45-55-1 Feed-forward (MLP)
Fonctions d’activation Logarithmique – Tangente– Linéaire
Méthode d’apprentissage Méthode de Levenberg-Marquardt
Tolérance de test d’arrêt 0.001
Nombre d’itérations choisis 10 .000
Tableau IV.1 Les propriétés de régulateur neuronal proposé.

82
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

La figure. IV.11 représente le schéma synoptique final de la commande neuronale directe du


couple (DTNNC) d’une machine asynchrone appliquée sur un onduleur multi-niveaux.

Figure IV.11: Schéma synoptique de la commande neuronale directe du couple pour une
machine asynchrone alimentée par un onduleur multi-niveaux.

83
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

IV.14 Résultats de simulation :

200
Vsa [V]

-200
0.65 0.7 0.75 0.8 0.85

200
Vsb [V]

-200
0.65 0.7 0.75 0.8 0.85

200
Vsc [V]

-200
0.65 0.7 0.75 0.8 0.85
Temps [s]

Figure IV.12 : La réponse des tensions d’alimentation Vsa, Vsb, Vsc

1.2 Phis
1 Phis (Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0
0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Le Temps [s]

Figure IV.13 : La trajectoire du flux Figure IV.14 : La réponse du


statorique dans le plan référentiel (α, β). module du flux statorique

84
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

20
120 Wr
15 Wr(Ref)

100
10
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
80 101
5
100
0 99
60
98
-5
0.49 0.5 0.51
40
-10

20
-15

-20 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
temps [s] Le temps [s]

Figure IV.15 : La réponse du Figure IV.16 : La réponse de la


courant statorique vitesse de rotation

200 16
Ce
150 14 Ce(Ref)
Le Couple Electromagnétique [N.m]

12
100

10
Vs(Axe Beta) [V]

50
8 +0.4
0
6
-50
4

-100
2
-0.4
-150
0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Le temps [s]

Figure IV.17 : La trajectoire des tensions Figure IV.18 : La réponse du


d’alimentation dans le plan référentiel (α, β). couple électromagnétique

IV.15 Interprétation des résultats:

La figure (IV-12) montre la réponse des tensions statoriques qui sont générées par le
contrôleur de la DTC neuronale.

La figure (IV-13), représente la trajectoire du vecteur flux qui est parfaitement circulaire sur
le plan biphasé (α, β).

85
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Ce qui est bien indiqué sur la figure (figure IV-14), le flux statorique présente une réponse
transitoire très rapide par rapport au couple, au régime permanent le flux statorique se
stabilise vers sa valeur de référence avec une erreur statique presque négligeable.

Comme il est montré dans la figure (IV-18) une réponse rapide du couple avec une certaine
réduction d’ondulations ( ± 0. par rapport que celles de la DTC classique à multi-
niveaux ( ± 0. (figure III-28), par conséquent la forme du courant statorique a une
forme sinusoïdale avec un pic de démarrage égale à 20 [A], ce qui est montré sur la figure
(IV-15).

La figure (IV-16), illustre la réponse de la vitesse de rotation du moteur, donc elle a gardée la
même performance par rapport à sa réponse de la commande DTC à multi-niveaux
conventionnelle, de son temps de réponse et de sa robustesse.

La figure (IV-17), montre la trajectoire de tensions statoriques sur le plan biphasé (α, β), cette
projection nous montre d’une façon détaillée les vecteurs de tensions sélectionnées par le
contrôleur DTC neuronal.

À partir de ces résultats, on peut constater que les performances du système, commandé par
un contrôleur neuronal, sont insatisfaisantes, malgré de l’adaptation du réseau de neurones
obtenu d’une façon similaire avec le contrôleur de la DTC classique. Cette cause est dûe au
fait qu’il n’y a pas une règle générale pour choisir les paramètres du réseau de neurones qui
sont limités par ses contraintes (le choix de la méthode convenable pour l’apprentissage, le
taux optimum de l’apprentissage, la détermination exacte de nombres des couches cachées, le
nombre de neurones dans chaque couche cachée, le choix du type de fonction d’activation
dans chaque couche cachée). Généralement c’est difficile de déterminer ce choix seulement
par les essais pour minimiser les erreurs entre le modèle physique et le modèle neuronal à
chaque fois dans l’opération d’apprentissage.

IV.16 Conclusion :

On a exposé dans ce chapitre l’amélioration de quelques performances de la commande


directe du couple de la machine asynchrone alimentée par un onduleur à multi-niveaux avec
l’utilisation de la technique de commande neuronale. Les résultats de simulation ont montré
que l’application les réseaux de neurones donne une amélioration relative au niveau du couple
par rapport à sa réponse par la méthode de DTC traditionnelle, mais la réponse du flux
statorique présente un perfectionnement représenté par la minimisation considérable au
niveau de ses ondulations.

86
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

Bibliographie
[1] M.Weinfeld ,‘Réseaux de Neurones‘, Techniques de l’ingénieur, article H 1990,2001.
[2] D.Ould Abdeslam,‘Techniques neuromimétiques pour la commande dans les systèmes
électriques : application au filtrage actif parallèle dans les réseaux électriques basse
tension’, de doctorat de l’université de Haute-Alsace, Mars 1992.
[3] C.Touzet,‘Les Reseaux De Neurones Artificiels Introduction Au Connexionnisme ‘
Cours, Exercices Et Travaux Pratiques- Juillet 1992 , page 6.
[4] L. Mokhnache,’Application des Réseaux de Neurones dans le Diagnostique et la
Prédiction des Isolations de Haute Tension’. Thèse de Doctorat d’Etat, ENP,
Décembre 2003.
[5] R.Ladjaj,’ Les Réseaux de Neurones’.
[6] M. Parizeau,‘Réseaux de neurones ‘; Notes de cours (GIF-21140 et GIF-64326),
Université Laval, Canada, Automne 2004.
[7] D.Youcef,‘Commande par réseaux de neurones d’une MADA intégrée à un système
éolien ‘, Mémoire de Magister, U. Sidi Bel-Abbès.
[8] P.Wira,‘ Réseaux de neurones artificiels : architectures et applications’, Université
de Haute Alsace Laboratoire MIPS (Modélisation, Intelligence, Processus, Systèmes)
.Avril 2009
[9] M.Bendjebbar,‘Contribution à l’étude d’algorithmes de l’intelligence artificielle pour
le développement de stratégies de commande des machines asynchrones‘
Thèse de Doctorat d’Etat, Université des sciences et de la technologie Mohamed
Boudiaf d’Oran USTO, Décembre 2007.
[10] J.Moody,C.Darken,‘Fast learning in network of locally-tuned processing units’.
Neural Computation, 1:606_623. (1995).
[11] L.Moussaoui,‘Contribution À La Commande Des Machines Synchrones À Aimants
Permanents’, Utilisation Des Réseaux De Neurones Et De La Logique Floue ‘ thèse
de magistère, Université Badji Mokhtar Annaba 2007
[12] L.Ben Brahim,R.Kurosawa,’Identification of induction motor speed using neural
networks’, in proc. Power conversion conf. Yokohama, Japan, 1993,pp.689-694.
[13] D.Racoceanu,’Contribution à la surveillance des Systèmes de Production en utilisant
les Techniques de l'Intelligence Artificielle’, Habilitation à Diriger des Recherches,
Université de Franche Comté, Besançon. 84, 85, 86. (2006).
[14] M.Bedboudi,’Identification et commande par réseaux de neurones d’une machine
asynchrone alimentée par un onduleur MLI’. mémoire de Magister de l’université
d’Annaba 2001.
[15] S.Chakroun,’Commande neuro-floue sans capteur de vitesse d’une machine
asynchrone triphasée’, Thèse de magistère Enset d’Oran 2009.
[16] V.Chitra,K.S.Ravichandran,R.Varadarajan,‘Artificial neuraln in field oriented control
for matrix converter driv’, World Applied Sciences Journal 16 (4): 560- 567, 2012
ISSN 1818-4952 © IDOSI Publications, 2012
[17] L. Leclercq,B. Robyns,J. Grave,‘ Control based on fuzzy logic of flywheel energy
storage system associated with wind and diesel generators’, Mathematics and
Computers in Simulation archive – Special issue: Modeling and simulation of
electrical machines, converters and systems, vol. 63, pp. 271–280, 2003.
[18] X.WU,L.Huang,‘Direct Torque Control of three-level Inverter Using Neural Network
as switching vector sélector ‘, Dept Of Electrical Engineering, Tsinghua Univ Beijing
.100084.P.R.china

87
Chapitre IV : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Les Réseaux de Neurones Artificiels.

[19] Y.Sayouti,A.Abbou,M.Akherraz,H.Mahmoudi,’fuzzy speed control of induction


motor with dtc-based neural networks’, Laboratoire LEEP, Dept. Electrique, EMI,
Morocco.
[20] S.Torche,‘Modélisation par les réseaux de neurones et simulation d’un biocapteur à
base de FET’ , Thèse de magister université de Batna, 2008.
[21] M.L.Zegai, M.Bendjebbar, K.Belhadri , M.LDoumbia, B.Hamane and P. M. Koumba,
‘Direct Torque Control of Induction Motor Based on Artificial Neural Networks
Speed Control Using MRAS and Neural PID Controller’ , Electrical Power and
Energy Conference (EPEC), 2015 IEEE, 320-325, October 26th to 28th, 2015
London.
[22] A.Dubray,‘Adaptation des lois de gestion d’énergie de véhicule hybride suivant le
profil de mission suivi’. Thèse de doctorat, L’INPG,(2002).
[23] A.Eltamaly,A.I.lolah,M.B.Basem,‘Fuzzy Controller for Three Phases Induction
Motor Drives’, Conference IEEE, (2010).

88
Chapitre V:

Commande Directe du Couple Appliquée


sur un Onduleur Multi-Niveaux par
La Logique Floue
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.1 Introduction :

L’imposition des contraintes sévères sur les performances des équipements


industriels impose à la recherche d’un fonctionnement optimal des systèmes. La démarche de
l’automatique classique (approche algorithmique) consistait à construire un modèle
mathématique du système à piloter. A partir de ce modèle, une commande est déterminée
(PID, commande par retour d’état, commande optimale…) afin d’amener ce système dans les
états désirés tout en respectant les critères des performances [5].

L’inconvénient de réglage classique, est basé sur une modélisation adéquate du système à
régler et un traitement analytique à l’aide de fonctions de transfert ou d’équations d’état. Cela
nécessite souvent des notions assez avancées de mathématique. Cependant, le réglage par la
logique floue abrège ces difficultés car elle donne une approche plutôt pragmatique,
permettant d’inclure aussi les expériences acquises par les opérateurs.

La logique floue est une théorie qui a connu un grand succès depuis que prof. L. Zadeh a
introduit le concept de sous-ensembles flous en 1965. En 1973, il a proposé d'appliquer les
principes de la logique floue dans la résolution des problèmes de réglage, par la suite et en
1974, E.H.Mamdani a construit un premier contrôleur flou pour la commande d'une turbine à
vapeur .En 1980 Sugeno a appliqué le réglage par logique floue à un four à ciment. Après ces
travaux, la commande floue a connu un grand essor essentiellement au Japon [3].

La logique floue est basée sur un raisonnement humain et réaliste. Avec toutes les
imprécisions et incertitudes qu'elle manipule, elle s'adapte très bien à la régulation des
processus aussi bien linéaires que non linéaires. La régulation floue est simple à mettre en
ouvre et permet de prendre en charge des systèmes complexes mais exige une connaissance
du dispositif [4].

Cette technique présente en effet l’avantage d’utiliser des règles linguistiques simples
permettant de traduire facilement le savoir faire d’un expert pour répondre à une
problématique spécifique. Dans ce sens, on utilise des correcteurs à base de logique floue qui
améliorent de façon globale aussi bien les performances dynamiques et la robustesse des
systèmes commandés.

Actuellement, la logique floue trouve notamment sa place dans le domaine de la commande


pour une large gamme de systèmes et plus généralement en génie électrique [1], [2].

Les principaux domaines de recherche et d’application de la logique floue sont les suivants :

 Automatisation de la production du fer et de l’acier, purification de l’eau, chaînes de


montage et robots de fabrication.
 Commande des instruments (capteurs, et instruments de mesure), et reconnaissance
de voix et de caractères.
 Traitement d’information telles que les données, recherche de l’information,
modélisation des systèmes…etc.

89
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Dans ce chapitre, nous allons présenter les notions fondamentales de la logique floue, et nous
présenterons par la suite la structure de la commande directe du couple utilisant l’algorithme
de commutation à l’aide de cette technique de commande pour la DTC multi-niveaux
appliquée sur un moteur asynchrone.

V.2 La différence entre ensemble floue et ensemble booléen :

L’ensemble booléen est défini par sa fonction caractéristique C à valeurs 0 ou 1, par


conséquence, ensemble flou est défini par sa fonction d’appartenance μ à valeurs dans
l’intervalle [0,1]. Considérons l’exemple suivant [6] :

Figure V.1 : Exemple d’ensembles considérés en logique booléenne.

Dans la logique booléenne, le degré d’appartenance (µ) ne peut prendre que deux valeurs (0 ou
1). La température peut être :

 Froide : 𝜇𝐹 𝑜𝑖 𝑇 = , 𝜇 𝑇𝑖é 𝑇 = , 𝜇 ℎ 𝑇 = ;
 Tiède : 𝜇𝐹 𝑜𝑖 𝑇 = , 𝜇 𝑇𝑖é 𝑇 = , 𝜇 ℎ 𝑇 = ;
 Chaude : 𝜇𝐹 𝑜𝑖 𝑇 = , 𝜇 𝑇𝑖é 𝑇 = , , 𝜇 ℎ 𝑇 = .

C'est-à-dire elle ne peut pas prendre deux adjectifs à la fois.

Figure V.2: Exemple d’ensembles considérés en logique floue.

Dans la logique floue, le degré d’appartenance devient une fonction qui peut prendre une
valeur réelle comprise entre 0 et 1 inclus. Par exemple, 𝜇 𝑇𝑖é 𝑇 permet de quantifier le fait
que la température puisse être considérée comme tiède. Pour obtenir le degré d'appartenance
d’une valeur donnée de la variable linguistique, relatif à un sous-ensemble flou, il suffit de
projeter verticalement cette valeur sur la fonction d'appartenance correspondant à ce sous-
ensemble flou.

90
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Dans ce cas, la température peut être considérée, à la fois, comme froide avec un degré
d’appartenance de 0.7 et comme tiède avec un degré d’appartenance de 0.3, figure V.2.

𝜇𝐹 𝑜𝑖 = . , 𝜇 𝑇𝑖é = . ,𝜇 ℎ 𝑇 =

V.3 Les fonctions d’appartenance:

Un ensemble flou est défini par sa fonction d’appartenance qui correspond à la notion de
fonction caractéristique en logique classique, elle permet de mesurer le degré d’appartenance
d’un élément à l’ensemble flou. En toute généralité, une fonction d’appartenance d’un
ensemble flou est désignée par 𝜇𝐴(𝑥). L’argument 𝑥 se rapporte à la variable caractérisée,
alors que l’indice 𝐴 indique l’ensemble concerné [7], [8].

Les fonctions d’appartenance peuvent être linaires ou gaussiennes avec différentes formes :

 Monotones (Croissantes ou décroissantes), comme il est montré sur la figure (V.3.a)


et (V.3.b) ;
 Triangulaire (figure (V.3.c)) ;
 Trapézoïdales (figure (V.3.d)) ;
 En forme de cloche (Gaussienne), comme il est montré sur la figure (V.3.e).

Généralement, les plus souvent utilisées sont les fonctions de forme trapézoïdales ou
triangulaires.

a. Exemple des fonctions d’appartenance monotones décroissantes.

b. Exemple des fonctions d’appartenance monotones croissantes

c. Frome triangulaire. d. Forme trapézoïdale. e. Forme gaussienne.

Figure V.3: Déférentes formes des fonctions d’appartenance.

91
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.4 Opérateurs de la logique floue :

Les mathématiques élaborées à partir des ensembles flous ressemblent beaucoup à celles
reliées à la théorie des ensembles conventionnels. Les opérateurs d'union, d'intersection et de
négation existent pour les deux types d'ensemble. Les opérateurs habituels, soit l'addition, la
soustraction, la division et la multiplication de deux ou plusieurs ensembles flous existent
aussi. Toutefois, ce sont les deux opérateurs d'union et d'intersection qu'on utilise le plus
souvent dans la commande par la logique floue [9].

 Opérateur ‘Non’ :
c = 𝑎̅ = Non (a). (V.1)
𝑢 𝑥 = −𝑢 𝑥 (V.2)

 Opérateur ‘ET’ :
L'opérateur ET correspond à l'intersection de deux ensembles a et b et on écrit :
c=a b (V.3)
Dans le cas de la logique floue, l'opérateur ET est réalisé dans la plupart des cas par la
formation du minimum, qui est appliquée aux fonctions d'appartenance 𝑢 𝑥 et 𝑢 𝑥 des
ensembles a et b, à savoir :
𝑢 = min{𝑢 , 𝑢 } (V.4) où
𝑢 , 𝑢 , 𝑢 , signifient respectivement le degré d'appartenance à l'ensemble a, b et c.
On parle alors d'opérateur minimum.

 Opérateur ‘OU’ :
L'opérateur OU correspond à l'union de deux ensembles a et b et on écrit :
c=a b (V.5)
il faut maintenant calculer le degré d'appartenance à l'ensemble c selon les degrés des
ensembles a et b. Cela se réalise par la formation du maximum. On a donc l'opérateur
maximum.
𝑢 = max{𝑢 , 𝑢 } (V.6)

Autres réalisations pour les opérateurs ET et OU


a) Par opérations arithmétiques :
 Et Opérateur produit : 𝑢 𝑥 = 𝑢 𝑥 . 𝑢 𝑥 (V.7)
𝑥 + 𝑥
 OuOpérateur somme : 𝑢 𝑥 = (V.8)
b) Par opérations combinées :
−𝛾
 Et flou : 𝑢 𝑥 = 𝛾[𝑢 𝑥 . 𝑢 𝑥 ] [𝑢 𝑥 + 𝑢 𝑥 ] (V.9)
Avec le facteur 𝛾 ∈ [ , ]
−𝛾
 Ou flou : 𝑢 𝑥 = 𝛾 𝑎𝑥 [𝑢 𝑥 . 𝑢 𝑥 ] [𝑢 𝑥 + 𝑢 𝑥 ] (V.10)
 Opérateur Min-Max : 𝑢 𝑥 = 𝛾 𝑖 [𝑢 𝑥 . 𝑢 𝑥 ] − 𝛾 𝑎𝑥[𝑢 𝑥 + 𝑢 𝑥 ] (V.11)
 Opérateur 𝛾 : 𝑢 𝑥 = [𝑢 𝑥 . 𝑢 𝑥 ] −𝛾 . − [ − 𝑢 𝑥 ][ − 𝑢 𝑥 ] 𝛾 (V.12)

92
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

le premier facteur contient l'opérateur produit pondéré avec l'exposant 1−𝛾 Par contre, le
deuxième facteur est la somme algébrique pondérée avec l'exposant 𝛾 .

A partir des notions précédentes nous pouvons constater que la logique classique est un cas
particulier de la logique floue. Autrement dit, la logique floue est une extension de la logique
classique [10].

V.5 Structure d’un régulateur flou :

Par opposition à un régulateur standard ou à un régulateur à contre-réaction d'état, le


régulateur par logique floue (RLF) ne traite pas une relation mathématique bien définie, mais
il utilise des inférences avec plusieurs règles, se basant sur des variables linguistiques.
Dans cette section, nous allons présenter la procédure générale de la conception d'un
régulateur par logique floue [11].

On distingue dans un régulateur flou trois étapes principaux : la fuzzification, l’inférence et la


défuzzification (Figure IV.4).

Figure V.4: Schéma synaptique général d’un régulateur flou.

V.5.1 La fuzzification :

C’est une valeur donnée d’une variable (vitesse, température, pression..), associe un sous-
ensemble flou particulier. On utilise pour cela des variables linguistiques représentées
mathématiquement par des fonctions d’appartenance qui quantifient l’incertitude relative à
l’appartenance da la variable à cet ensemble. Ces variables linguistiques sont choisies de
façon à modéliser les observations d’un être humain qui qualifiera un phénomène de positif,
négatif, nul, petit, grand ou moyen ….etc.

93
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.5.2 L’inférence :

La base de reconnaissances est la liaison entre les grandeurs d’entrée fuzzifiées et la


variable de sortie exprimée, elle aussi sous forme linguistique, selon un certain nombre de
règles floues, ces dernières se décomposent en deux parties :

 La prémisse : endroit où une ou plusieurs propositions floues sont énoncées.


 La conclusion ou la conséquence : c'est-à-dire la réponse.

Aussi, les règles d’inférences peuvent être décrites de plusieurs façons :


 Linguistiquement : On écrit les règles de façon explicite comme dans l’exemple
suivant :
SI (la température est élevée ET la vitesse est faible) ALORS la tension est grande
positive,
Ou ,
SI (la température est moyenne ET la vitesse est faible) ALORS la tension est positive.
 Symboliquement : Il s’agit en fait d’une description linguistique où l’on remplace la
désignation des ensembles flous par des abréviations.
 Par matrice d’inférence : Elle rassemble toutes les règles d’inférences sous forme de
tableau. Dans le cas d’un tableau à deux dimensions, les entrées du tableau IV.1
représentent les ensembles flous des variables d’entrées (x et y). L’intersection d’une
colonne et d’une ligne donne l’ensemble flou de la variable de sortie (z) définie par la
règle. Il y a autant de cases que de règles.
Exemple :
y NL ZE PL
x
NL ZE NL NL
ZE PL ZE PL
PL NL NL ZE

Si x = ZE (et/ou) y = NL , Alors z = PL

Tableau V.1 : Exemple d’une matrice d’inférence.

Maintenant, il s’agit de définir les degrés d’appartenance de la variable de sortie à ses sous
ensembles flous. Nous allons présenter les méthodes d’inférence qui permettent
d’arriver.

Ces méthodes se différencient essentiellement par la manière dont ils vont être réalisées par
les opérateurs ET et OU utilisés dans les règles d’inférence.

94
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Nous présentons les trois méthodes les plus usuelles [12]:

 Max-Min,
 Max-Produit,
 Somme-Produit.

V.5.2.1 Méthode d’inférence Max-Min (méthode de Mamdani) :

La méthode d’inférence min-max, utilise l’opérateur « ET » par la formulation du


minimum. La conclusion dans chaque règle, introduite par « ALORS », qui est réalisée par la
formation du minimum. Enfin l’opérateur « OU » lie les différentes règles, réalisé par la
formation du maximum [13], [14].

Figure V.5: Exemple d’inférence Max-Min (Mamdani).

V.5.2.2 Méthode d’inférence Max-Produit (méthode de Larsen) :

La méthode d’inférence max-produit, réalise l’opérateur « ET »par la formulation du


produit. La conclusion dans chaque règle, introduite par « ALORS », est réalisée par la
formation du produit. L’opérateur « OU » qui lie les différentes règles est réalisé par la
formation du maximum.

95
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Figure V.6: Exemple d’inférence Max-Produit (Larsen).

V.5.2.3 Méthode d’inférence Somme-Produit :

Dans ce cas, l’opérateur ET est réalisé par le produit, de même que la conclusion
ALORS. Cependant l’opérateur OU est réalisé par la valeur moyenne des degrés
d’appartenance intervenant dans l’inférence. D’autre méthodes ont été élaborées, ayant
chacune une variante spécifique. Néanmoins, la méthode Max-Min est de loin la plus utilisée
à cause de sa simplicité.

V.5.3 La défuzzification :
Elle constitue en une transformation de l’information floue issue de l’inférence en une
information déterministe directement applicable au processus. Lorsque la conclusion de la
règle est précise, spécifique et certaine, c'est-à-dire lorsque toutes les règles floues en
présence ont une conclusion non floue alors la défuzzification est implicite [13], [14].
Différentes méthodes utilisées sont :
 Méthode de centre de gravité.
 Méthode du maximum.
 Méthode des hauteurs pondérées.

96
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.5.3.1 Méthode de centre de gravité :


C'est la méthode de défuzzification la plus courante. L'abscisse du centre de gravité
de la fonction d'appartenance résultant de l'inférence correspond à la valeur de sortie du
régulateur.
𝑥𝜇𝑅 𝑥 𝑥
𝑧= (V.13)
𝜇𝑅 𝑥 𝑥
Il apparaît que plus la fonction d'appartenance résultante est compliquée, plus le processus de
défuzzification devient long et coûteux en temps de calcul.

Figure V.7: Défuzzification par le centre de gravité.

V.5.3.2 Méthode de maximum:


Cette méthode est beaucoup plus simple. La valeur de sortie est choisie comme
l'abscisse de la valeur maximale de la fonction d'appartenance.

Figure V.8: Défuzzification par valeur maximum.

V.5.3.3 Méthode des hauteurs pondérées:


Elle correspond à la méthode de centre de gravité quand les fonctions d'appartenance
ne se recouvrent pas.
∑ 𝑥 𝜇𝑅𝑖 𝑥
𝑧= ∑ 𝜇𝑅𝑖 𝑥
(V.14)

Cette méthode est surtout utilisée quand les fonctions d'appartenance de la variable de sortie
sont des singletons.

Figure V.9: Défuzzification par les hauteurs pondérées.

97
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.6 Lés étapes à suivre pour la conception d’un régulateur flou :


La mise en œuvre d’une commande floue est basée sur les points suivants :
 Etude et description du système à commander.
 Détermination les entrées à fuzzifier et les sorties de régulateur flou.
 Choix des formes et le nombre des fonctions d’appartenances dans les éléments de
l’entrée et de la sortie.
 Détermination des plages de variation de chaque élément et la limite de chaque fonction
d’appartenance.
 Etablir la base de reconnaissance (les règles), avec une méthode d’inférence bien
choisie.
 Choisir la méthode de déffizzification convenable qui assure un fonctionnement parfait
du régulateur.
 Insérer le régulateur dans le système à commander.

L’organigramme suivant résume les différentes étapes pour établir une commande floue selon
le problème posé (Figure V.10).

Figure V.10: Méthodologie de la synthèse d'une commande floue.

V.7 Avantages et inconvénients de la commande par la logique floue :


La commande par logique floue réunit un certain nombre d'avantages et d’inconvénients ;
[13], [15], [16], [17] :
V.7.1 Les avantages :
 La non nécessité d’une modélisation mathématique rigoureuse du processus ;

98
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

 La possibilité d'implanter des connaissances (linguistiques) de l'opérateur du processus ;


 La maîtrise du procédé avec un comportement complexe (fortement non-linéaire
et difficile à modéliser) ;
 L'emploi possible aussi pour les processus rapides (grâce à des processeurs dédicacés) ;
 La réduction du temps de développement et de la maintenance ;
 La simplicité de définition et de conception.
V.7.2 Les inconvénients :
 Le manque de directives précises pour la conception d'un réglage (choix des grandeurs à
mesurer, détermination de la fuzzification, des inférences et de la défuzzification) ;
 L'approche artisanale et non systématique (implantation des connaissances des opérateurs
souvent difficile) ;
 La cohérence des inférences non garantie a priori (apparition de règles d'inférence
contradictoires possible).

V.8 Application de la logique floue dans la DTC à multi-niveaux :

Dans le control direct du couple conventionnel, les erreurs du couple et du flux sont
directement utilisées pour choisir l'état de commutation sans distinguer entre une erreur très
grande ou relativement petite.

Par ailleurs, l'état de commutation choisi pour une grande erreur qui se produit au démarrage
ou lors de la variation des consignes du couple et du flux est le même qu'en fonctionnement
normal. Cela implique évidemment une réponse médiocre. Les performances du système
peuvent être améliorées si on sélectionne le vecteur tension selon la valeur de l'erreur du
couple et du flux ainsi que la position du flux dans l'espace de son évolution. [18]

V.9 La description de régulateur DTC-flou :

Ce régulateur contient trois variables en entrée représentent, l’erreur du couple, l’erreur du


flux, l’angle de flux statorique et une variable de sortie qui détermine le vecteur de tension
sélectionné.

Chaque contrôleur flou est construit de trois étapes essentielles qui sont : fuzzification,
inférence floue et défuzzification.

V.9.1 La fuzzification : les entrées de ce régulateur flou sont définies come suit :

∆𝜑 = 𝜑 ∗ − 𝜑

{∆𝐶 𝑚 = 𝐶 𝑚 − 𝐶 𝑚 (V.15)
− 𝜑𝑠
𝜃 = tan 𝜑𝑠

99
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.9.1.1 L’erreur de flux statorique : elle est représentée par trois sous-ensembles
suivants : valeur nulle (Z) définit par la fonction d’appartenance triangulaire, négative (N), et
positive (P) [19], qui sont définis par la fonction d’appartenance de la forme trapézoïdale de la
figure. V.11.

Figure V.11: Fonctions d’appartenance de l’erreur du flux.

V.9.1.2 L’erreur de couple : elle est présentée par les cinq sous-ensembles suivants :
valeur grand positif (GP) et grand négatif (GN) par des fonctions d’appartenances
trapézoïdales, petit positif (PP), nulle (Z), petit négatif (PN) par des fonctions d’appartenances
triangulaires[19]. La distribution de leurs fonctions d’appartenance est montrée par la figure
V.12.

Figure V.12: Fonctions d’appartenance de l’erreur du couple.

V.9.1.3 L’angle du flux statorique : elle est décrit par douze variables linguistique
(𝜃 , → 𝜃 ) représentées par une seule fonction d’appartenance de forme triangulaire,
𝜋
chaque fonction prend un espace d’intervalle de 30° ( , la répartition de ces fonctions est
montrée dans la figure V.13.

Figure V.13: Fonctions d’appartenance de l’angle du flux.

100
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V.9.1.4 La tension produite : le contrôleur flou fournit dans sa sortie les vecteurs de
tension sélectionnés de V0 jusqu'à V26 représentés par les fonctions d’appartenance sous
forme triangulaire comme indiqué dans la figure V.14.

Figure V.14: Fonctions d’appartenance de la tension.

V.9.2 L’inférence floue : chaque règle de commande peut être décrite en utilisant les
variables d’entrée ∆𝜑 , ∆𝐶 𝑚 et 𝜃 et la variable commande ‘n’ caractérisant l'état de
commutation d’onduleur. L’ ième de la règle Ri peut être écrite comme suit:

Ri : Si ∆𝜑 , est Ai , et ∆𝐶 𝑚 est Bi et 𝜃 est Ci Alors (n) est Vi.

L’algorithme de commande comporte alors 180 règles, la méthode d'inférence employée est le
procédé de Mamdani basé sur la décision Max-Min.

Les fonctions d’appartenance des variables A, B, C et N sont données respectivement par


𝜇 , 𝜇 , 𝜇 et 𝜇𝑁 . Le facteur 𝛼𝑖 pour la règle ième peut être écrit par : [20], [21]

𝛼𝑖 = min 𝜇 𝑖 ∆𝜑 , 𝜇 𝑖 ∆𝐶 𝑚 ,𝜇 𝑖 𝜃 (V.16)

Par le raisonnement flou, le procédé minimum de Mamdani donne :

𝜇 ′ 𝑁𝑖 = min 𝛼𝑖 , 𝜇𝑁𝑖 (V.17)

La fonction d’appartenance 𝜇𝑁 de la sortie est donnée par :


8
𝜇𝑁 = 𝑀𝑎𝑥 𝑖= 𝛼𝑖 , 𝜇 ′ 𝑁𝑖 (V.18)

La valeur correspondante à 𝜇𝑁 devrait ensuite être convertie par un autre algorithme à un
vecteur de tension.

101
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Le tableau suivant illustre les déférentes règles floues utilisées par l’algorithme de
commutations de la commande directe du couple appliquée sur un onduleur multi-niveaux.

𝐴 𝑔𝑒∶θ , 𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ

∆𝛗𝐬 ∆𝛗𝐬 ∆𝛗𝐬


∆𝐂𝐞𝐦 P Z N ∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦 P Z N
PL V21 V22 V17 PL V16 V17 V23 PL V22 V23 V18
PS V21 V22 V3 PS V2 V3 V23 PS V22 V23 V4
Z V0 V7 V14 Z V0 V7 V14 Z V0 V7 V14
PN V26 V25 V5 PN V1 V6 V25 PN V21 V26 V6
GN V26 V25 V19 GN V15 V20 V25 GN V21 V26 V20

𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ

∆𝛗𝐬 ∆𝛗𝐬 ∆𝛗𝐬


∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦 P Z N ∆𝐂𝐞𝐦
P Z N
PL V17 V18 V24 PL V23 V24 V19 PL V18 V19 V25
PS V3 V4 V24 PS V23 V24 V5 PS V4 V5 V25
Z V0 V7 V14 Z V0 V7 V14 Z V0 V7 V14
PN V2 V1 V26 PN V22 V21 V1 PN V3 V2 V21
GN V16 V15 V26 GN V22 V21 V15 GN V17 V16 V21

𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ

∆𝛗𝐬 ∆𝛗𝐬 ∆𝛗𝐬


∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦
P Z N
PL V24 V25 V20 PL V19 V20 V26 PL V25 V26 V15
PS V24 V25 V6 PS V5 V6 V26 PS V25 V26 V1
Z V0 V7 V14 Z V0 V7 V14 Z V0 V7 V14
PN V23 V22 V2 PN V4 V3 V22 PN V24 V23 V3
GN V23 V22 V16 GN V18 V17 V22 GN V24 V23 V17

𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ 𝐴 𝑔𝑒∶θ

∆𝛗𝐬 ∆𝛗𝐬 ∆𝛗𝐬


∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦
P Z N ∆𝐂𝐞𝐦
P Z N
PL V20 V15 V21 PL V26 V21 V16 PL V15 V16 V22
PS V6 V1 V21 PS V26 V21 V2 PS V1 V2 V22
Z V0 V7 V14 Z V0 V7 V14 Z V0 V7 V14
PN V5 V4 V23 PN V25 V24 V4 PN V6 V5 V24
GN V19 V18 V23 GN V25 V24 V18 GN V20 V19 V24

Tableau V.2: Règles d’inférences floues appliquées sur le régulateur DTC.

V.9.3 La déffuzification: Dans notre système, la sortie est déterminée par la méthode des
hauteurs pondérées comme critère pour la défuzzificatoion car le contrôle du couple et du flux
par le vecteur tension est de nature discrète.

102
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

La variable de sortie floue qui a la possibilité de distribution maximale, sera utilisée


directement comme sortie du contrôle.
6
𝜇𝑁𝑜 = 𝑀𝑎𝑥 𝑖= 𝜇𝑁 (V.19)

La figure V.15 illustre la structure finale de régulateur flou de la commande directe du couple
appliquée sur un onduleur multi niveaux.

Figure V.15: La structure finale du régulateur flou utilisé dans la DTC multi-niveaux.

La figure V.16, illustre la surface floue qui représente les valeurs de sortie Vs en fonction des
variations dans l’erreur sur le couple électromagnétique et l’erreur sur le flux statorique.

20

15
20
Numéro du vecteur Vs

15
10
10

5 5
0.1
0 0.05
2
1 0
0 0
-0.05
-1
-2 -0.1 Erreur sur le Flux
Erreur sur le Couple

Figure V.16: Surface caractéristique du régulateur flou.

103
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Le schéma synoptique de la commande floue directe du couple (DTFC) d’une machine


asynchrone est montré dans la figure V.17, où un contrôleur flou a été inséré pour remplacer
simultanément les contrôleurs à hystérésis classiques et la table de commutation [22].
La valeur estimée du flux statorique est comparée à sa valeur souhaitée. La valeur estimée du
couple électromagnétique est comparée au couple de référence. Les erreurs du flux, couple et
de l’angle de position du flux statorique sont fuzzifiés en plusieurs sous-ensembles flous pour
sélectionner un vecteur de tension afin de conduire le couple et le flux vers leurs valeurs de
référence.

Figure V.17: Schéma synoptique de la commande floue directe du couple pour une machine
asynchrone alimentée par un onduleur multi-niveaux.

104
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

V .10 Résultats de simulation :

200
Vsa [V]

-200
0.65 0.7 0.75 0.8 0.85

200
Vsb [V]

-200
0.65 0.7 0.75 0.8 0.85

200
Vsc [V]

-200
0.65 0.7 0.75 0.8 0.85
Temps [s]

Figure V.18 : La réponse des tensions d’alimentation Vsa, Vsb, Vsc.

1.2 Phis
1 Phis (Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0
0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Le Temps [s]

Figure V.19: La trajectoire du flux Figure V.20: La réponse du


statorique dans le plan référentiel (α, β). module du flux statorique

105
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

15
120 Wr
Wr(Ref)
10
100
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
80 102

100
0
60 98

0.5 0.51
-5 40

-10 20

-15 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
temps [s] Le temps [s]

Figure V.21: La réponse du Figure V.22: La réponse de la


courant statorique. vitesse de rotation.

200 16
Ce
150 14 Ce(Ref)
Le Couple Electromagnétique [N.m]

12
100

10
Vs(Axe Beta) [V]

50
8 +0.35
0
6
-50
4

-100
2
-0.35
-150 0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Le temps [s]

Figure V.23: La trajectoire des tensions Figure V.24: La réponse du


d’alimentation dans le plan référentiel (α, β). couple électromagnétique.

V.11 Interprétation des résultats:

Si on comparant avec le contrôleur neural, la figure (V-18) montre une meilleure réponse pour
les tensions statoriques qui sont produites par le contrôleur de DTC à multi-niveaux flou,
c’est dû au fait de l’annulation des régulateurs à hystérésis.

106
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Par contre, dans la figure (V-19), la trajectoire du vecteur flux est de forme quasi circulaire
sur le plan biphasé (α, β), ce phénomène se pose sur la manière du choix des règles du
régulateur flou utilisé.

Sur la figure (V-20), le flux statorique offre aussi une réponse transitoire très rapide par
rapport au couple, et dans son régime permanent et il atteint à sa valeur de référence avec une
erreur statique minimale identique à ce qu’il existe avec l’utilisation du contrôleur à DTC
neuronal.

La figure (V-24) illustre une réponse rapide du couple avec des réductions dans ses
ondulations ( ± . par rapport à celles de la DTC neurale à multi-niveaux ( ± .
(figure IV-19), par conséquent la forme du courant statorique a une forme sinusoïdale avec un
pic de démarrage égale 15 [A], ce qui est montré sur la figure (V-21).

La figure (V-22), montre la réponse de la vitesse de rotation du moteur. Les mêmes


performances sont maintenues par rapport à sa réponse qui est présentée dans la commande
DTC neuronale à multi-niveaux, dans ses caractéristiques qui dépendent sur le temps de
réponse et la stabilité contre les perturbations appliquées sur le système.

La figure (IV-18), représente la réponse de la trajectoire des tensions statoriques sur le plan
biphasé (α, β), cette projection nous montre d’une façon plus nette les vecteurs de tensions
sélectionnées avec le contrôleur DTC par la méthode de la logique floue.

À partir de ces résultats, d’une part, on peut noter que les performances du système,
commandé par un contrôleur flou, sont améliorées d’une façon acceptable, mais d’autre part,
la contrainte majeure qui existe dans l’utilisation de la logique floue, se pose que le
programmateur doit être expert dans le domaine où le problème est posé. Pour avoir un bon
régulateur flou, il faut bien déterminer les variables à réglée (nombre des variables à utilisées,
nombre et forme des fonctions d’appartenances utilisées dans chaque variable, la plage de
variation de chaque variable, l’intervalle de chaque fonction d’appartenance, la manière
d’établir les règles floues, la méthode à choisir pour la déffuzification ….), car la divergence
du système sera plus probable si la conception du régulateur flou n’est pas réalisée d’une
façon optimale.

IV.12 Conclusion :

On a étudié dans ce chapitre les performances de la commande directe du couple de la


machine asynchrone alimentée par un onduleur multi-niveaux par l’utilisation de la technique
de commande floue. Les résultats de simulation ont montré que l’application de la logique
floue offre une amélioration au niveau de la qualité de la tension d’alimentation et du couple
par rapport à sa réponse par la méthode de DTC neural et automatiquement, on a des
améliorations au niveau du courant statorique. Cependant, les autres grandeurs, restent
approximativement inchangées au niveau de ces réponses.

107
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

Bibliographie
[1] B.Robyns,F.Berthereau,J.-P.Hautier,H. Buyse,‘A fuzzy-logicbased multimodel field
orientation in an indirect foc of an induction motor’, IEEE Transactions on Industrial
Electronics, vol. 47, pp. 380–388, 2000.
[2] L.Leclercq,B.Robyns,J.Grave,‘Control based on fuzzy logic of a flywheel energy
storage system associated with wind and diesel generators’, Mathematics and
Computers in Simulation archive – Special issue : Modelling and simulation of
electrical machines, converters and systems, vol. 63, pp. 271–280, 2003.
[3] Y.Mihoube,‘Commande Neuro-Floue d'une machine asynchrone’, Thèse de magister,
USTO, 2002.
[4] H.Abdeldjebar,’Commande des système par logique Floue, réseau neurones et
algorithme génétique’, Thèse de doctorat, USTO, 2004.
[5] C.H.Chen,‘Fuzzy logic and neural network handbook’, IEEE Press, 1996.
[6] L.Zadeh,’Fuzzy Sets’, Information and Control, vol. 8, 1965, pp. 338–353.
[7] F.Chevrie,F.Guély,‘La Logique Floue’, Cahier Technique N° 191, Groupe Schneider,
CT Edition, Mars, 1998.
[8] ″Les Bases de la Commande Floue″,Document d’internet, disponible dans le site:
http// : www.tn.refer.org.
[9] A.M.Alimi,‘Thé bêta fuzzy system : Approximation of standard membership
functions’, 17éme journées tunisiennes d’électrotechnique et d’automatique,1997.
[10] K.B.Lee,F.Blaabjerg,‘Improved Direct Torque Control for Sensorless Matrix
Converter Drives with Constant Switching Frequency and Torque Ripple Reduction’,
International Journal of Control, Automation, and Systems (IJCAS), vol. 4, no. 1, pp.
113-123, February 2006.
[11] A.Rezzoug,L.Baghli,H.Razik,‘Commande floue et domotique’ in proc. Journées 1998
de la section électrotechnique, CLUB E.E.A, Nancy, France, 29-30 Janvier 1998, pp.
1-11.
[12] B.K.Bose,’Modern Power Electronics and AC drive’, Prentice-Hall,Inc 2002.
[13] H.Buhler,‘Réglage par Logique Floue’,Presses Polytechniques et Universitaires
Romanes, 1994.
[14] L.Bagli,‘Contribution à la commande de la machine asynchrone, utilisation de la
logique floue, des réseaux de neurones et des algorithmes génétiques’.
Thèse doctorat, Nancy –France, 1999.
[15] J. Camille de Barros,‘Application de la Logique Floue à la Commande Optimale du
Moteur Asynchrone’,Thèse de Doctorat en Génie Electrique, Université de Droit,
d’Economie et des Sciences d’Aix-Marseille, Aix-Marseille III, France, 2003.
[16] M.T.Cao,‘Commande Numérique de Machines Asynchrones par Logique Floue’,
Thèse Doctorat, Université de Laval, Québec, Canada, 1997.
[17] V.Lacrose,‘Réduction de la Complexité des Contrôleurs Flous : Application à la
Commande Multivariable’, Thèse de Doctorat en Automatique et Informatique
Industrielle, Institut National des Sciences Appliquées de Toulouse, France, 1997.
[18] A.Seddiki,‘Contribution au contrôle direct du couple (DTC) d’une machine
Asynchrone à cage Alimentée par un onduleur multiniveaux’, Mémoire de Magister
2009 Universite M’hamed Bougara De Boumerdes.

108
Chapitre V : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par La Logique Floue.

[19] H-W.Wang,W-P.Cui,X.Zhang,J-Q Ren,‘An improved method of low speed torque


ripple based on adaptive fuzzy torque tracking controller’ ,Machine Learning and
Cybernetics, 2004. Proceedings of 2004 International Conference on. Issue , 26-29
Aug. 2004 Page(s): 519 - 522 vol.1.
[20] C.C.Lee,‘Fuzzy Logic in Conud Wtemr: Funy Logic Contmlpattl’, IEEE Trmtsoction
on system, Mon mtd cybemctic, vol. 20, NO.2, MarchiApd, l990, pp. 404-418.
[21] H-H.Xia,S.Li,P-L.Wan,M-F.Zhao,‘Study on Fuzzy Direct Torque Control System’,
Proceedings of the Fourth International Conference on Machine Learning and
Cybernetics, Beijing, 4-5 August 2002.
[22] A.Miloudi,’Etude Et Conception De Régulateurs Robustes Dans Différentes
Stratégies De Commandes D’un Moteur Asynchrone’, thèse Doctorat Es-Science
Université Oran, Juin 2006.

109
Chapitre IV:

Commande Directe du Couple Appliquée


sur un Onduleur Multi-Niveaux par
Neuro-Flou et Neuro-Flou-SVM
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.1 Introduction :

La commande neuronale et la commande floue sont deux techniques de la commande


intelligente les plus populaires. Elles possèdent plusieurs similarités, par exemple, les
systèmes flous et les RNA ont le même objectif. Tous les deux tentent de modéliser le
fonctionnement du cerveau humain. Car les RNA tentent de modéliser l’architecture du
cerveau en créant une modélisation de l’entité du cerveau (le neurone), et les systèmes flous
modélisent le cerveau par son mode de fonctionnement (apprentissage et déduction).

Alors les réseaux de neurones et la logique floue sont deux concepts totalement inspirés du
raisonnement humain. Bien que ces deux modèles numériques soient différents du point de
vue structure, ils possèdent de nombreux points communs. En effet, l’utilisation de ces deux
techniques ne nécessite pas de modèle mathématique bien défini [1] car la résolution se base
sur les valeurs numériques des entrées et des sorties pour les réseaux neuronaux et sur la
logique du système dans le cas de la logique floue. De plus, les résultats sont généralement
incertains. En revanche ces deux techniques peuvent accomplir des tâches complémentaires.

La logique floue fournit des connaissances avec un certain degré d’incertitude


(ou d’exactitude) et les réseaux de neurones peuvent modéliser et reproduire l’apprentissage
humain d’où l’idée de combiner ces deux techniques pour créer un système artificiel
intelligent qui résout les problèmes de manière proche de celle de l’être humain.

Les méthodes des réseaux de neurones peuvent être fusionnées à l’intérieur des systèmes
flous. Ceci permet de les adapter à de nouvelles situations et de nouvelles règles, lors du
fonctionnement en temps réel. De même, les techniques de la logique floue peuvent être
introduites dans les réseaux neuronaux afin de perfectionner et d’améliorer l’expressivité et la
flexibilité du réseau.

Ces combinaisons sont appelées les systèmes hybrides : neuro-flous (fuzzy-neuro systems) [1].
De telles combinaisons permettent non seulement de s’approcher davantage de la structure de
la pensée humaine mais aussi d’obtenir un champ d’utilisation plus large et d’utiliser les
avantages de chaque technique, à savoir la simplicité des connaissances floues d’une part et
l’habilité d’apprentissage des réseaux de neurones d’autre part. L'utilisation des réseaux
hybrides permet, en plus, de diminuer le temps d'apprentissage par la possibilité d'introduire
de la connaissance à priori dans le système d’aide au diagnostic. Le choix de la façon
d’intégration de ces deux techniques est crucial.

VI.2 Définition de système neuro-flou :

George Lee (Lee et al. 2001) définit un système neuro-flou comme étant un réseau neuronal
multicouche avec des paramètres flous, ou comme un système flou mis en application sous
une forme distribuée parallèle [4].

110
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Un système neuro-flou ne devrait pas par contre être vu comme un système expert mais il
peut être utilisé comme un approximateur universel.

VI.3 Structure de commande neuro-floue :

L’utilisation conjointe des réseaux de neurones et de la logique floue permet de tirer les
avantages des deux méthodes, les capacités d’apprentissage de la première et la lisibilité et la
souplesse de la seconde. Diverses combinaisons de ces deux méthodes ont été développées
depuis 1988. Elles ont donné naissance aux systèmes neuro-flous, qui sont le plus souvent
orientés vers la commande de système complexe et les problèmes de classifications [3].
La figure VI.1 résume le principe du système Neuro-flou.

Figure VI.1 : Principe du système Neuro-Flou.

Le schéma général d'une structure neuro-floue est illustré par la figure suivante:

Figure VI.2 : Structure générale d’un réseau Neuro-Flou.

VI.4 Principe de fonctionnement :


Les systèmes neuro-flous sont des systèmes flous formés par un algorithme d’apprentissage
inspiré de la théorie des réseaux de neurones. La technique d’apprentissage opère en fonction
de l’information locale et produit uniquement des changements locaux dans le système flou
d’origine [2].
Les réseaux neuro-flous hybrides apprennent des rapports et des modèles en utilisant un
algorithme d’apprentissage supervisé qui examine les données dans un ensemble de la
formation qui consiste en exemples d'entrées et leurs sorties associées. Pendant la phase

111
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

d’apprentissage, un réseau neuro-flou hybride modifie sa structure interne pour refléter le


rapport entre les entrées et les sorties dans l'ensemble de la formation (base de connaissance).
L'exactitude d'un réseau neuro-flou est vérifiée après que le cycle d’apprentissage soit
complet en utilisant un ensemble séparé d'entrées et de sorties appelés l'ensemble de la
validation.

Figure VI.3 : Principe de fonctionnement système neuro-flou.

VI.5 Architectures de neuro-flou :


Plusieurs architectures ont été développées depuis les années quatre-vingt et sont orientées
généralement vers la commande des systèmes complexes et les problèmes de classification
[5], [6]. Diverses architectures ont été proposées pour les réseaux neuro-flous, allant d’une
fusion des deux types de méthodes à une utilisation séquentielle de l’une ou de l’autre. Nous
présenterons celles qui sont les plus utilisées.
En conséquence, il existe trois architectures hybrides neuro- floues:

VI.5.1 Première architecture :


La première architecture de neuro-floue consiste au codage du système d'inférence floue
sous la forme d'un réseau de neurones multicouches dans lequel les poids correspondent aux
paramètres du système. L'architecture du réseau dépend du type de règle et des méthodes
d'inférences, d'agrégation et de défuzzification choisies.

Figure VI.4 : Exemple de réseaux de neurones pour la commande floue.

112
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

D'après la figure précédente, pour des règles de la forme :


"Si V1 est A1i et V2 est A2i Alors W = Wi" ;
nous obtenons un réseau de neurones qui admet pour entrer les valeurs x1 et x2 prises par les
variables V1 et V2 et dont les deux couches cachées correspondent respectivement au calcul de
la valeur des fonctions d'appartenance Aij pour l'entrée xi et à celui de la valeur prise par la
conjonction des conditions de chaque règle utilisant un opérateur adéquat. Les fonctions
d'appartenance sont considérées comme des paramètres ajustés par les poids entrant dans
la première couche cachée. Les conclusions Wi des règles sont également des paramètres
ajustables par l'intermédiaire des poids associés à la dernière couche.

VI.5.2 Deuxième architecture :


Dans cette architecture, les composantes du système de commande floue sont remplacées
par des réseaux de neurones destinés essentiellement à l'apprentissage des fonctions
d'appartenances, au calcul de l'inférence et à la réalisation de la phase d'agrégation et de
défuzzification. Ils peuvent réaliser l'extraction des règles floues en analysant la corrélation
qui existe entre les entrées et les sorties du réseau de neurones. En effet, l'avantage de cette
méthode réside dans la résolution des problèmes de détermination des fonctions
d'appartenances et l'adaptation à l'environnement du système.

VI.5.3 Troisième architecture :


Cette méthode utilise des réseaux de neurones et des systèmes flous associés en série ou
en parallèle. Plusieurs variantes sont ainsi possibles :
 Le réseau de neurones fonctionne en amont du système flou. Les variantes d'entrées du
système flou sont déterminées à partir des sorties du réseau de neurones (dans le cas
où elles ne sont pas mesurables directement) ou encore un réseau de neurones effectue
une tâche de classification ou de reconnaissance de formes, suivie d'un système flou
d'aide à la décision.
 Un réseau de neurones qui fonctionne en aval du système flou, dans le but d'ajuster les
sorties d'un système de commande floue à de nouvelles connaissances obtenues, les
variables de sorties étant les erreurs sur les variables de sortie du système flou.

Figure VI.5 : Exemple d’association en série d’un réseau de neurone et un système flou.

113
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Figure VI.6 : Exemple d’association en parallèle d’un réseau de neurone et un système flou.

VI.6 Combinaisons des réseaux neuro-flou :


Il existe quatre grandes catégories de combinaisons des réseaux de neurones avec la logique
floue [6], [7] :
VI.6.1 Réseau flou neuronal : Dans ces réseaux, les techniques floues sont employées
pour augmenter les possibilités du processus d'apprentissage et d'exécution des réseaux de
neurones.
VI.6.2 Système neuronal/flou simultanément : Le réseau de neurone et le système flou
fonctionnent ensemble sur la même tâche, mais sans s'influencer, c’est-à-dire. ni l'un ni l'autre
n'est employé pour déterminer les paramètres de l'autre. Habituellement le réseau neuronal
traite les entrées, ou post-traite les sorties du système flou.
VI.6.3 Modèles neuro-flous coopératifs : Le réseau de neurone est employé pour
déterminer les paramètres (les règles et les ensembles flous) d'un système flou. Après la phase
d’apprentissage, le système flou fonctionne sans le réseau de neurone. C’est une forme simple
des systèmes neuro-flous.
VI.6.4 Modèles neuro-floues hybrides : Les approches neuro-floues modernes sont de
cette forme. Un réseau neuronal et un système flou sont combinés dans une architecture
homogène. Le système peut être interprété comme un réseau neuronal spécial avec des
paramètres flous ou comme un système flou mis en application sous une forme distribuée
parallèle.

VI.7 Systèmes neuro-flous hybrides intégrés:


On distingue trois types des systèmes neuro-flou hybrides :
VI.7.1 Systèmes neuro-flous de type Mamdani :
Les systèmes neuro-flous de type Mamdani utilisent la technique d’apprentissage
supervisé (rétro-propagation) pour apprendre les paramètres des fonctions d’appartenance.
L’architecture du système neuro-flou Mamdani est illustrée par la figure VI.6, [6], [8].

114
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Figure VI.7: Système neuro-flou type Mamadani.

 La couche 01 (la couche d’entrée) : [6]


Aucun calcul n’est fait dans cette couche. Chaque nœud dans cette couche qui
correspond à une variable d’entrée transmet seulement et directement des valeurs
d’entrée à la prochaine couche. Le poids du lien dans la couche 1 est de 1.
 La couche 02 (la couche de Fuzzification) :
Chaque nœud dans cette couche correspond à un terme linguistique (Négatif, Zéro,
Positif …etc.) à une des variables d’entrée dans la couche 01. En d’autres termes, le
lien de la production représente la valeur d’appartenance qui spécifie le degré auquel
une valeur d’entrée appartient à un ensemble flou est calculé dans la couche 02.
Un algorithme de regroupement décidera du nombre initial et du type de fonctions
d’appartenance qui doit être alloué à chacun de la variable d’entrée. Les dernières
formes de MFs seront réglées pendant l’apprentissage du système.
 La couche 03 (la couche de promise des règles) :
Un nœud dans cette couche représente la partie antérieure d’une règle.
Habituellement un opérateur t-norme est utilisé dans ce nœud. La sortie d’un nœud
de la couche 03 représente la « La force de tir » de la règle floue correspondante.
 La couche 04 (la couche de la partie conclusion des règles) :
Ce nœud a deux taches fondamentale : combiner les nouveaux antécédents des
règles, et déterminer le degré auquel ils appartiennent à la variable linguistique de
sortie (Haut, bas ….etc.).Le nombre de nœuds dans cette couche sera égal au nombre
de règles.
 La couche 05 (la couche de combinaison et de défuzzification) :
Ce nœud fait la combinaison de tous les conséquents des règles qui utilise un opérateur
t-conorme et finalement calcule la sortie après défuzzification.

115
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.7.2 Systèmes neuro-flous de type Takagi -Sugeno:


Les systèmes neuro-flous de type Takagi-Sugeno font usage d’un mélange d’algorithme
de rétro-propagation pour faire un apprentissage des fonctions d’appartenance et la méthode
de moindre carré pour déterminer les coefficients des combinaisons linéaires dans les
conclusions des règles.
Un pas dans la procédure d’apprentissage a obtenu deux parties : dans la première partie, les
modèles d’entrée sont propagées, et les paramètres de la sortie optimaux sont estimés par la
procédure du moindre carré, pendant que les paramètres antérieurs sont supposés être fixés
pour le cycle courant à travers l’ensemble d’apprentissage.
Dans la deuxième partie, les modèles sont encore propagés, et dans cette tache, la rétro-
propagation est utilisée pour modifier les paramètres antérieurs, pendant que les paramètres de
la conclusion « conséquence »restent fixes. Cette procédure sera alors répétée.
L’architecture du système neuro-flou Takagi -Sugeno est illustrée par la figure VI.7, [6]

Figure VI.8: Système neuro-flou type Takagi –Sugeno.

Les détails de chaque couche sont présentés de manière suivante :


 Les couches 01, 02, 03 :
Sont les mêmes que celle du modèle de Mamdani.
 La couche 04 (la couche de Normalisation des poids de la règle) :
Chaque nœud dans cette couche calcule le coefficient de la l’ieme règle à la somme de
toutes les règles :
𝑤𝑖
̅̅̅𝑖 = 𝑤 +𝑤 , 𝑖 = , …. (VI.1)
 La couche 05 (la couche conséquente de règle) :
Chaque nœud i de cette couche est un nœud fonctionnel
̅̅̅𝑖 = ̅̅̅𝑖 + + (VI.2)
Tel que ̅̅̅𝑖 est une sortie de la couche 04, et { , , } sont des paramètres des ensembles.

116
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Un chemin bien établi est de déterminer les paramètres conséquents qui utilisent des
algorithmes des moindres carrés.
 La couche 06 (la couche d’inférence des règles) :
Le seul nœud de cette couche calcule la somme totale de sortie de tous les signaux ;
∑𝑖 𝑤𝑖 𝑖
La somme totale = ∑ ̅̅̅𝑖 = ∑𝑖 𝑤𝑖
(VI.3)

VI.7.3 Systèmes ANFIS (Adaptative Network Fuzzy Inference System) :


ANFIS (Adaptive Network Based fuzzy Inference System) représente un système à
inférence floue mis en application dans le cadre des réseaux adaptatifs. Il utilise la procédure
d’apprentissage Hybride [9],[6].
Cette architecture affine les règles floues obtenues par des experts humains pour décrire le
comportement d'entrée-sortie d'un système complexe. Une version modifiée du modèle
ANFIS est capable d’implémenter le système d’inférence floue de Tsukamoto [9], [10].
La version d’origine utilise un modèle flou de type Sugeno et codifie les règles floues de la
forme :
Rr : Si x1 est μj1(1) ^…..^ xnest μjn(n) Alors y= α0(r)+α1(r)x1+………..+ αn(r)xn
Plusieurs ouvrages et articles montrent un bon rendement du modèle ANFIS lorsqu’il est
utilisé dans la commande de moteur [11],[12].
L’architecture du système neuro-flou de type ANFIS est illustrée par la figure IV.24.

Figure VI.9: Système neuro-flou type ANFIS

La sortie globale dans le modèle de ‘Tsukamoto’ est donnée par la moyenne pondérée de
chaque sortie nette de la règle induite par le sens de la règle (le produit ou minimum des
degrés d’adéquation avec la partie prémisse) et les fonctions d’appartenance de sortie.
Le modèle ANFIS contient cinq couches. La première couche cachée est pour la fuzzification
des variables d’entrées, des opérateurs utilisés dans la deuxième couche cachée pour calculer
la partie antécédente de règle. La troisième couche normalise les sens des règles, elle est
117
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

suivie de la quatrième couche où les paramètres conséquents de la règle sont déterminés.


La couche de sortie calcule la sortie totale par addition de tous les signaux entrants.

VI.8 Les avantages des systèmes neuro-flous : [6],[8]


Le tableau qui suit, résume les avantages d’utilisation des systèmes neuro-flous en comparant
avec la technique des réseaux de neurones et la technique de la logique floue.
Les réseaux de neurones Systèmes
Systèmes d’inférence floue
artificiels neuro-flous
La base de règle ne peut La base de règle peut être La base de règle peut être
être utilisée. utilisée. utilisée.
Pas d’apprentissage L’apprentissage peut être
L’apprentissage peut être
(utilise la connaissance démarré de zéro ou avec
démarré de zéro.
linguistique). une base de règles initiales.
Interprétable Une interprétation et
Boite noire.
(La règle If-Then). implémentation simples.
Complexité des
Universel et implémentation Le modèle mathématique
algorithmes
simples. non requis.
d’apprentissage.
Simple pour extraire de
Difficulté pour extraire les Les connaissances doivent connaissances a partir des
connaissances. être disponibles. données (qualitatif,
quantitatif)
Tableau VI.1: Les avantages des systèmes neuro-flous.
Ainsi par ses avantages, on trouve :

VI.8.1 La rapidité de calcul :


Les systèmes neuro-flous sont très rapides parce que l’évaluation d’un système exercé
implique typiquement des opérations de la comparaison simple et un nombre limité de calcul
de la surface linéaire. Les arbres de décision sont très rapides et la comparaison des
paramètres est faite de manière floue pas comme la méthode classique et enfin la base des
règles flous sera repartie et que chaque règle fonctionne d’une manière autonome et en même
temps collective.

VI.8.2 La flexibilité :
Un système neuro-flou peut traiter des problèmes complexes avec beaucoup de variables
à l’entrée. Au lieu d’adapter une architecture interne fixe, l’architecture d’un système neuro-
flou peut grandir dynamiquement et efficacement en réponse à la complexité des données
d’apprentissage. La structure d’un système neuro-floue représente efficacement le rapport
entre les entrées et les sorties du problème.

VI.8.3 Généralisation des connaissances :


Les systèmes d’apprentissage ont souvent des difficultés quand il y a manque de données
historiques pour former, ou bien les données contiennent trop de bruit. Les systèmes de neuro-
flous peuvent compenser ces problèmes pendant la phase d’apprentissage en changeant

118
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

(adapter) leur structure interne. Souvent les règles sont de la forme : plus d’entrées, plus des
résultats satisfaisants.

VI.9 Application de neuro-flou dans la DTC à multi-niveaux :

La combinaison des réseaux de neurones et de la logique floue permet de construire des


contrôleurs NF qui sont plus robustes comparativement à d’autres contrôleurs qui utilisent
seulement la logique floue ou les réseaux de neurones, comme le système NF peut
automatiquement optimiser et affiner soit des règles ou des fonctions d’appartenance en
modifiant les poids de connexion du réseau de neurone à travers un algorithme d’auto-
apprentissage [13]. Cependant, les contrôleurs NF classiques utilisent la technique
d’optimisation locale plutôt que la technique d’optimisation globale qui utilise les opérations
pessimiste et optimiste pour réajuster la dynamique des règles floues [14]. Dans ce qui suit,
nous allons présenter l’application de la technique neuro-flou dans le noyau de l’algorithme
de commutation de la commande directe du couple d’un moteur asynchrone alimenté par un
onduleur multi-niveaux.

Cette étude proposée est faite avec deux approches :

 La première approche est d’utiliser un régulateur neuro-flou basé sur la structure ANFIS
avec l’apprentissage supervisé.
 La deuxième approche est de proposer un régulateur neuro-flou basé sur la structure
ANFIS renforcé par la technique SVM (Speace Vector Modulation) avec un auto-
apprentissage

VI.10 Régulateur neuro-flou appliqué à la commande DTC (première approche) :

L’idée proposée dans cette approche, est de remplacer le sélecteur conventionnel de l’état des
interrupteurs d’onduleur par un sélecteur neuro-flou afin de générer identiquement les signaux
de commande de ces derniers.

Le régulateur ANFIS proposé a trois variables dans son entrée représentant les états du flux,
du couple et la position angulaire (θ) ou bien la zone N du vecteur flux statorique.
La sortie de ce sélecteur est le vecteur de tension Vs sélectionné.

VI.11 Les étapes à suivre pour établir un régulateur neuro- flou : [15]

Les procédures à suivre pour établir un régulateur neuro-flou sont :

 Charger les données (Combinaisons (Entrées /Sortie)).


 Déterminer le nombre et les types des fonctions d’appartenances pour chaque entrée.
 Fixer l’erreur tolérante acceptée et le nombre des itérations autorisées.
 Choisir la méthode convenable de l’apprentissage qui assure la convergence.
 Lancer l’apprentissage.
119
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

L’opération de l’apprentissage ne s’arrête pas automatiquement, que si on a une erreur


tolérante suffisamment inferieure à celle qui est fixée, ou bien si le nombre d’itérations atteint
la limite maximale de comptage fixée.

L’organigramme suivant résume les différentes étapes pour avoir un régulateur neuro-flou
avec apprentissage supervisé.

Figure VI.10 : Les étapes pour obtenir un régulateur neuro-flou.

Après avoir chargé les données qui correspondent l’algorithme de commutation de la


commande directe du couple pour un onduleur multi-niveaux, et selon les instructions de
l’organigramme citées dans la figure VI.10, on a établi notre régulateur neuro-flou avec une
fixation le nombre d’itérations initiale = 1500 et l’erreur tolérante = 0 ; avec la méthode de
l’apprentissage hybride et structure optimale de 3-5-9 avec les fonctions d’appartenances
de neurones de couche 01 de type gaussiennes.

Le nombre des règles sont générées automatiquement en fonction du nombre totale des
fonctions d’appétences c’est à dire on a 3x5x9 = 135 règles.

120
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

La figure VI.11 représente la classification des données du contrôleur avant (a) et après (b)
l’opération de l’apprentissage.

. (a) (b)

Figure VI.11 : Editeur d’apprentissage un régulateur neuro-flou sous Matlab.

Remarque : Les structures finales de fonctions d’appartenances, ne peuvent pas être formées
qu’après l’opération d’apprentissage.

Les entrées de ce régulateur neuro flou sont trois neurones et sont choisies comme suit :
VI.11.1 Le premier neurone (Erreur sur le flux) : est donné par 3 fonctions
d’appartenances, avec l’intervalle [-1,1] ;

Figure VI.12 : Fonctions d’appartenances de premier neurone.

121
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.11.2 Le deuxième neurone (Erreur sur le couple) : est choisi avec 5 fonctions
d’appartenances, avec l’intervalle [-2,2] ;

.
Figure VI.13 : Fonctions d’appartenances de deuxième neurone.

VI.11.3 Le troisième neurone (La position du flux statorique) : cette entrée contient 9
fonctions d’appartenances, avec l’intervalle [1,12] ;
.

Figure VI.14 : Fonctions d’appartenances de troisième neurone.

La figure VI.15 montre la structure générale de régulateur neuro-flou proposé pour la


commande directe du couple par un onduleur à multi-niveaux.

Figure VI.15 : La structure générale du régulateur neuro-flou proposé.

La figure VI.16, illustre la surface floue qui représente les valeurs de sortie Vs on fonction des
variations dans l’erreur sur le couple électromagnétique et l’erreur sur le flux statorique.

122
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

40
40

Numéro du Vecteur Vs
30 30
20
10 20

0
10
-10
0
2
1
0.5 -10
0
0
-0.5
Erreur sur le Couple -2 -1 Erreur sur le Flux
Figure VI.16 : Surface de régulateur neuro-flou proposé.

La figure. IV.17 représente le schéma synoptique final de la commande neuro-floue directe du


couple (DTNFC) d’une machine asynchrone alimentée par un onduleur multi-niveaux.

Figure VI.17 : Schéma synoptique de la commande neuro-floue directe du couple pour


une machine asynchrone alimentée par un onduleur multi-niveaux.

123
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.12 Régulateur neuro-flou avec SVM appliqué à la commande DTC (deuxième


approche) :

Dans cette approche proposée, les comparateurs à hystérésis et la table de commutation ont
été remplacés par un contrôleur basé sur un système d’inférence neuro flou adaptatif (ANFIS)
[16],[17], ainsi qu’une modulation vectorielle a été introduite afin d’évaluer le vecteur de
tension optimale, pour avoir une réponse rapide du flux et du couple, moins d’ondulations
dans le régime permanent et une fréquence de commutation constante [18].

VI.12.1 La structure des couches pour le contrôleur DTC neuro-flou-SVM :

La logique floue et les réseaux de neurones artificiels peuvent intégrer dans un seul
contrôleur pour obtenir une commande directe du couple basée sur un régulateur neuro-flou.

Le système d’inférence neuro-flou adaptatif (ANFIS) est une méthode proposée dans cette
partie, également elle est basée sur la logique floue et les réseaux de neurones artificiels, ce
système est montré dans figure IV.18, et se compose de cinq couches fonctionnelles
(Les règles de base, les bases de données, unité qui fait la décision, l’interface de
fuzzification, l’interface de défuzzification) qui sont réparties de la manière suivante :

Figure VI.18 : Structure interne du contrôleur neuro-flou-SVM direct du couple.

124
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Les couches de ce régulateur sont organisées par la manière suivante :

 Couche 01 :

L’erreur de flux ∆𝜑 et du couple∆Cem, sont multipliés par les poids respectifs wφ et wce et
sont introduits à travers deux fonctions d’appartenance floue [19].

w’φ = ∆𝜑 wφ (VI.4)
w’ce = ∆Cem wce (VI.5)
Les types de fonctions choisies sont montrés dans la figure VI.19 [20].

Figure VI.19 : Les fonctions d’appartenance pour les deux entrées


(l’erreur sur le flux et l’erreur sur le couple).

La première partie de la sortie est calculée par la multiplication des entrées par leurs poids qui
correspondent : [19]

Oφi1 = μAφi (∆𝜑 wφ) (VI.6)


Ocj1 = μAcj (∆𝐶 𝑚 wce) (VI.7)

Où :

 Oφi1, Ocj1 : Le signal de sortie pour la première couche ;


 i=1, 2, 3 : Le numéro de chaque nœud pour l’erreur sur le flux ;
 j=1, 2, 3 : Le numéro de chaque nœud pour l’erreur sur le couple ;
 μAφi (∆𝜑 wφ) : Les fonctions d’appartenances pour l’erreur sur le flux ;
 μAcj (∆𝐶 𝑚 wce) : Les fonctions d’appartenances pour l’erreur sur le couple ;
 wφ : Le poids d’entrée pour l’erreur sur le flux ;
 wce : Le poids d’entrée pour l’erreur sur le couple.

 Couche 02 :

La deuxième couche calcule le minimum de ce qui correspond à la logique floue classique du


système. Le calcul peut être écrit comme suit : [19]

Ok2 = Min [μAφi (∆𝜑 wφ), μAcj (∆𝐶 𝑚 wce)] (VI.8)

125
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Où :

 Ok2 : Le signal de sortie pour la deuxième couche ;


 K=I,J : Le numéro de la couche présente.
Il n'y a pas chaque nœud relié à l’ensemble, mais les connexions sont entre les sorties des
fonctions d'appartenances avec des entrées différentes.

 Couche 03 :

Dans la troisième couche, les valeurs de sortie de la deuxième couche sont normalisées, selon
l’équation suivante :

Ok
O =∑ (VI.9)
k Ok

Où, Ok3 : Le signal de sortie pour la troisième couche.

 Couche 04 :

La quatrième couche permet de déterminer la kème composante de vecteur tension désirée


(k=1….9), le poids calculé dans cette couche par l’équation :
. Ok4 = Ok3 Ud (VI.10)

Où, Ok4 : Le signal de sortie pour la quatrième couche.

 Couche 05 :

La cinquième couche permet de trouver le vecteur de tension de référence Vs à partir de la


somme des ces composantes (voir figure VI.20) par l’équation suivante :

= ∑𝐾 (VI.11)

Où :

4
 ′ = O e φk : Le composant de vecteur pour la tension de référence ;
 𝜑 : Le composant de phase pour la tension de référence

126
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Figure VI.20 : Méthode pour calculer le vecteur de tension de référence.

𝜑 = θs + ∆θ = δ (VI.12)

Où :

 𝜑 : L’angle de keme composante du vecteur de tension désirée ;


 𝜃 : L’angle actuel du vecteur flux statorique ;
 ∆𝜃 : L’angle d’incrémentation du vecteur de tension de référence.

VI.12.2 La sélection de l’angle d’incrémentation selon l’évolution du flux et du


couple :

La position du vecteur de tension de référence par rapport au vecteur flux statorique doit
être choisie de manière à maintenir le flux statorique et le couple électromagnétique dans une
bande d’erreur optimale autour de leur valeur de référence. Si est l’angle entre le vecteur
tension de référence et le vecteur flux alors il est choisi en tenant compte les considérations
suivantes : [20], [21], [22], [23].

 Si l’erreur du flux statorique est positive ( ∆𝜑 > ), trois cas se présentent :


 L’erreur du couple est positive ( ∆𝐶 𝑚 > ) : dans ce cas, l’angle ajouté à la position du
flux doit en même temps augmenter le module du flux et augmenter le couple. Cet angle
doit donc être positif pour augmenter le couple et inférieur à (π / 2) pour augmenter le
module du flux. Plus l’angle d est proche de (π / 2), le choix de (π / 4) est fait sur la base
d’un compromis entre le bon contrôle du flux et du couple
 L’erreur du couple est nulle ( ∆𝐶 𝑚 ) : dans ce cas l’angle 0 est choisi afin
d’augmenter le flux et ne pas changer la valeur du couple.
 L’erreur du couple est négative (∆𝐶 𝑚 < ) : dans ce cas l’angle ajouté à la position du
flux doit en même temps augmenter le module du flux et diminuer le couple. Cet angle
doit donc être négatif pour diminuer le couple est supérieur à (-π / 2) pour augmenter le
module du flux. Le choix de (-π / 4) est fait sur la base d’un compromis entre le bon
contrôle du flux et du couple.

127
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

 Si l’erreur du flux statorique est nulle (∆𝜑 ), le choix de l’angle est fait de manière à
maintenir le flux constant. La valeur (-π / 2) est choisie dans ce cas l’erreur du couple
est négative, et (π / 2) est choisie dans les deux autres cas (erreur positive ou nulle).

 Si l’erreur du flux statorique est négative ( ∆𝜑 < ), trois cas se présentent :


 L’erreur du couple est positive ( ∆𝐶 𝑚 > ) : dans ce cas l’angle ajouté à la position du
flux doit en même temps diminuer le module du flux et augmenter le couple.
Cet angle doit donc être positif pour augmenter le couple et se situer dans l’intervalle
[/ 2, ] afin de diminuer le module du flux. Le choix de (3π / 4) est fait sur la base
d’un compromis entre le bon contrôle du flux et du couple.
 L’erreur du couple est nulle: (∆𝐶 𝑚 ): dans ce cas l’angle est choisi afin de
diminuer le flux et ne pas changer la valeur du couple.
 L’erreur du couple est négative (∆𝐶 𝑚 < ): dans ce cas l’angle ajouté à la position du
flux doit en même temps diminuer le module du flux et diminuer le couple. Cet angle
doit donc être négatif pour diminuer le couple et se situer dans l’intervalle [, / 2]
afin de diminuer le module du flux. Le choix de (- 3π / 4) est fait sur la base d’un
compromis entre le bon contrôle du flux et du couple.

Le tableau VI.2 résume les valeurs de l’angle d’incrémentation en fonction de l’évolution


dans l’erreur du flux et du couple.

∆𝝋𝒔 P Z N
∆𝑪𝒆𝒎 P Z N P Z N P Z N
𝜋 𝜋 𝜋 𝜋 𝜋 𝜋 𝜋
 + − + + − + 𝜋 −
Tableau VI.2: Angle d’incrément du vecteur de tension de référence.

VI.13 MLI vectorielle appliquée à l’onduleur multi-niveaux :

Récemment, les microprocesseurs et les DSP ont été largement adoptés pour un contrôle
numérique de l’onduleur à MLI. Cependant, avec le nombre de niveau accru, il y a des
difficultés informatiques pour la plupart des méthodes multi-niveaux existantes de type SVM.
Pour un nombre de niveau plus élevé, il est même impossible de mettre en application
l'algorithme multi-niveaux de la SVM à l'aide de microprocesseurs. En outre, la plupart des
méthodes multi-niveaux existantes de SVM dépendent du nombre de niveaux. Cela signifie
que lorsque le nombre de niveau change, l’algorithme de SVM change aussi, ce qui signifie
des difficultés de mise en application de la modulation vectorielle multi-niveaux. [25]

La MLI vectorielle dite « Space Vector Modulation » est surtout applicable aux variateurs de
vitesse triphasée sans neutre. La SVM est la méthode récemment la mieux adaptée au contrôle
des moteurs asynchrones contrairement à d’autres méthodes. Elle produit des tensions avec un
taux d’harmoniques plus faible. Cette technique de commande est basée sur une
transformation des variables triphasées dans un système plus simple à deux cordonnées (α, β).
128
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Dans cette partie de chapitre nous allons étudier la MLI vectorielle bien détaillée appliquée
aux onduleurs à trois niveaux de type NPC.

Le tableau suivant illustre la représentation des 27 vecteurs d’onduleur à trois niveaux [24].

N 𝑽 𝑽 Van Vbn Vcn Va0 Vb0 Vc0 Ka1 Ka2 Kb1 Kb2 Kc1 Kc2 Sa Sb Sc
0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0
1 E/√ 0 E/3 -E/6 -E/6 E/2 0 0 1 1 0 1 0 1 1 0 0
2 E/√ E/√8 E/6 E/6 -E/3 E/2 E/2 0 1 1 1 1 0 1 1 1 0
3 -E/√ -E/√8 -E/6 E/3 -E/6 0 E/2 0 1 0 1 1 1 0 0 1 0
4 -E/√ 0 -E/3 E/6 E/6 0 E/2 E/2 1 0 1 1 1 1 0 1 1
5 -E/√ -E/√8 -E/6 -E/6 E/3 0 0 E/2 1 0 1 0 1 1 0 0 1
6 E/√ -E/√8 E/6 -E/3 E/6 E/2 0 E/2 1 1 1 0 1 1 1 0 1
7 0 0 0 0 0 E/2 E/2 E/2 1 1 1 1 1 1 1 1 1
8 E/√ 0 E/3 -E/6 -E/6 -E/2 -E/2 E/3 1 0 0 0 0 0 0 -1 -1
9 E/√ E/√8 -E/6 E/3 -E/6 0 0 -E/2 1 0 1 0 0 0 0 0 -1
10 -E/√ E/√8 -E/6 E/3 -E/6 0 -E/2 0 0 0 1 0 0 0 -1 0 -1
11 -E/√ 0 -E/3 E/6 E/6 -E/2 0 0 0 0 1 0 1 0 -1 0 0
12 -E/√ -E/√8 -E/6 -E/6 E/3 -E/2 -E/2 0 0 0 0 0 1 1 -1 -1 1
13 E/√ -E/√8 E/6 -E/3 E/6 0 -E/2 0 1 0 0 0 1 0 0 -1 0
14 0 0 0 0 0 -E/2 -E/2 -E/2 0 0 0 0 0 0 -1 -1 -1
15 E√ /√ 0 2E/3 -E/3 -E/3 E/2 -E/2 -E/2 1 1 0 0 0 0 1 -1 -1
16 E/√ E/√ E/3 E/3 -2E/3 E/2 E/2 -E/2 1 1 1 1 0 0 1 1 -1
17 -E/√ E/√ -E/3 2E/3 -E/3 -E/2 E/2 -E/2 0 0 1 1 0 0 -1 1 -1
18 -E√ /√ 0 -2E/3 E/3 E/3 -E/2 E/2 E/2 0 0 1 1 1 1 -1 1 1
19 -E/√ -E/√ -E/3 -E/3 2E/3 -E/2 -E/2 E/2 0 0 0 0 1 1 -1 -1 1
20 E/√ -E/√ E/3 -2E/3 E/3 E/2 -E/2 E/2 1 1 0 0 1 1 1 -1 1
21 E√ /√8 E/√8 E/2 0 -E/2 E/2 0 -E/2 1 1 1 0 0 0 1 0 -1
22 0 E/√ 0 E/2 -E/2 0 E/2 -E/2 1 0 1 1 0 0 0 1 -1
23 -E√ /√8 E/√8 -E/2 E/2 0 -E/2 E/2 0 0 0 1 1 1 0 -1 1 0
24 -E√ /√8 -E/√8 -E/2 0 E/2 -E/2 0 -E/2 0 0 1 0 1 1 -1 0 1
25 0 -E/√ 0 -E/2 E/2 0 -E/2 E/2 1 0 0 0 1 1 0 -1 1
26 E√ /√8 -E/√8 E/2 -E/2 0 E/2 -E/2 0 1 1 0 0 1 0 1 -1 0

Tableau VI.3: Calcul des vectceurs de tension dans un onduleur à trois niveaux.
Les différentes combinaisons des grandeurs Sa , Sb , Sc permettent de générer 27 positions du
vecteur, dont trois correspondent au vecteur nul.

La figure VI .21 présente la configuration de 19 vecteurs de sorties distincts et indique pour


chaque vecteur les tensions Va0 , Vb0, Vc0 correspondantes.

Figure VI.21 : Configuration des vecteurs de sortie d’onduleur multi-niveaux.

129
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Le fait que l’on dispose de 19 vecteurs de sortie permet de mieux approximer les formes
d’ondes des tensions de sortie désirées. Ces vecteurs de tensions divisent le plan α-β en 24
portions triangulaires. Quand les vecteurs de tension sont choisis pour synthétiser le vecteur
de tension de référence désiré baser sur le principe du temps moyen, les tensions de sortie
résultante donnée par la sélection des trois vecteurs, sont des tensions quasi- sinusoïdales
formées de créneaux ou d’impulsions par alternance (MLI). Si le vecteur de tension synthétisé
est une bonne approximation au vecteur de référence, les tensions triphasées de MLI devraient
être les bonnes approximations aux tensions triphasées désirées.

VI.14 Synthèse du vecteur de référence à trois niveaux:

Puisque les onduleurs à trois niveaux ont beaucoup plus d'états de commutation que les
onduleurs à deux niveaux, l'algorithme pour la détermination de section triangulaire qui donne
la position de Vref , le choix des états de commutation et le modèle de commutation sont plus
difficiles.

La figure VI.22 représente l'algorithme de synthèse d'une tension de référence Vref arbitraire
dans le plan α-o-β en utilisant le vecteur approprié de l'espace et la transformation de
coordonnées.

Tout d'abord, on discrétise l'espace des vecteurs de tension tout entier : il est divisé en six
hexagones égaux I-VI, par les lignes traversées.

D’après la position de l'angle de Vref , on peut facilement déterminer le secteur où se trouve


Vref Comme expliqué sur le schéma VI.22, le vecteur de Vref est à l'intérieur de l’hexagone I.
Pour synthétiser Vref , il est également nécessaire de déterminer à quelle section triangulaire
appartient cette tension. Pour obtenir cette information, la transformation de coordonnée peut
être employée.
Dans l'exemple exposé, puisque Vref est dans l'hexagone I, il est approprié de transformer
' '
le vecteur dans le repère (   o   ) vers Vref où Vref se trouve dans le plan (  '  o'   ' ) en
employant l'équation VI-13 [26].

√ U cos 𝜔 −√ cos(𝜋⁄ )
𝜋⁄ 𝜋⁄

= ( − )= . (VI-13)
√ U sin 𝜔 −√ sin(𝜋⁄ )
{ }

130
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Figure VI.22 : Synthèse du vecteur de tension de référence.

Pour localiser le triangle exacte où Vref se situe, on regarde l'angle de V’ref. Dans cet
exemple, le triangle est limité par les vecteurs V2, V3 et V14. Il convient de noter que,
n'importe quel triangle est inclus dans un hexagone de centre O’. En reconnaissant ceci, on
obtient un algorithme conventionnel simple de commande semblable à celui du SVM à deux
niveaux qui comporte également des tensions de sortie présentant des harmoniques de faibles
distorsions.

Par exemple, la tension de référence dans l'hexagone I sera synthétisée par deux vecteurs
parmi les (V2, V3, V4, V13, V15 et V19), qui définissent l'hexagone centré en V14. De même V15
et deux parmi les six vecteurs indiquant ceux qui se placent aux extrémités de l'hexagone
centré en V15 pourraient synthétiser un autre vecteur de tension de référence, qui est situé
dans le secteur II.

Le vecteur de référence Vref devrait être d'abord assigné dans les six des plus petits
hexagones. En général, dans chaque structure des tensions de sortie, on a deux références
l’une par rapport au plan (   o   ) caractérisée par la tension de référence Vref et la
seconde par rapport au plan (  '  o'   ' ) caractérisé par la tension de référence V’ref. Ce
dernier est synthétisé en utilisant le même calcul de modèle de synchronisation de
commutation que celui de l’onduleur à deux niveaux.

Il convient de noter que, selon la figure VI-21 et VI-22, V14 et V15 ont deux états. En
synthétisant une tension dans l’hexagone I, par exemple, pour l'état (110) et l’état (0 0-1) de
V14, on emploit seulement l’état (010) de V15. Cependant, en synthétisant un vecteur de
tension dans l’hexagone II, pour l’état (010) et (-10-1) de V15 on emploit seulement l’état
(0 0 -1) de V14 ; par contre les états (111) et (-1-1-1) ne sont jamais employés.

L’identification des rapports cycliques est basée sur la connaissance de la position du vecteur
de référence tournant Vref (oα,oβ). L'algorithme peut être divisé en plusieurs étapes.
L’organigramme suivant (figure VI-23) résume les étapes pour déterminer les hexagones,
donc la position du vecteur de référence Vref pour un onduleur à trois niveaux.

131
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Entrée des données :


Taux de modulation : r
Fréquence d’échantillonnage : fe
Valeur de la source continue : U
Fréquence du fondamental : f

Période d’échantillonnage : Te  1 / f e Pulsation :   2   f Angle :   t

3 3
Vs  r U  sin(t );Vs  r U  cos(t )
2 2

Non Oui
Vs  Vs  0

Non Oui
Vs  0

Vs / Vs  3 Vs / Vs  3


Non Oui
Non Oui
Zone 2 Zone 3

Zone 5 Zone 6 Non Oui


Vs  0

Non Oui
Vs / Vs  3

Zone 2 Zone 1

Non
Vs / Vs  3 Oui

Zone 5 Zone 4

Zone 2 Zone 1

Vs  Vs  0 Vs / Vs  3


Non Oui Non Oui

Hexagone II Hexagone I Hexagone I Hexagone VI

132
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Zone 4 Zone 3

Non Vs / Vs  3 Oui Non Vs / Vs  3 Oui

Hexagone IV Hexagone III Hexagone II Hexagone III

Zone 6 Zone 5

Non Vs / Vs  3 Oui Non Vs  Vs  0 Oui

Hexagone V Hexagone VI Hexagone V Hexagone IV

Hexagone II Hexagone I

 
Vecteur de base V15 Vecteur de base V14
Commutations (V14 ,V4 ,V5 ,V6 ,V16 ,V19 ,V15 ) Commutations (V2 ,V3 ,V4 ,V15 ,V19 ,V13 ,V14 )

j / 3

Vref  e
' j 2 / 3
(Vref  V15 ) 
Vref  e
'
(Vref  V14 )
 '  ' j / 3
Vref  e
 (Vref  V14 )
j 2 / 3
Vref  e
 (Vref  V15 )

*** ***

133
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Hexagone IV Hexagone III

 
Vecteur de base V17 Vecteur de base V16
Commutations (V18 ,V19 ,V16 ,V8 ,V9 ,V10 ,V17 ) Commutations (V19 ,V15,V6 ,V7 ,V8 ,V17 ,V16 )

j 4 / 3

Vref  e
'
(Vref  V17 )  j
Vref  e (Vref  V16 )
'

 ' j 4 / 3  '
Vref  e (Vref  V17 ) j
 Vref  e (Vref  V16 )

*** ***

Hexagone VI Hexagone V

 
Vecteur de base V13 Vecteur de base V18
Commutations (V1,V2 ,V14 ,V19 ,V18,V12 ,V13) Commutations (V12 ,V13,V19 ,V17 ,V10 ,V11,V18 )


Vref  e (Vref  V13 )
' j0

Vref  e
' j 5 / 3
(Vref  V18 )
 '  ' j 5 / 3
Vref  e (Vref  V13 )
j0
 Vref  e
 (Vref  V18 )

*** ***
***

Les mêmes calculs de SVM à 2 niveaux, en remplaçant le Vref par


Vref' et les vecteurs ( V0 ,V1 ,....,V7 ) par les vecteurs de
commutation
Figure VI.23 : Organigramme de la MLI vectorielle de l’onduleur à trois niveaux.

Finalement, le principe de l’algorithme de modulation vectorielle multi-niveaux est de diviser


l’hexagone en de petites zones pour déterminer la position exacte, et l'approche de SVM est
peut être la plus puissante, parce qu'elle permet plus de liberté pour commander et optimiser
les modèles de commutation que n'importe quelle autre approche de modulation. En même
temps, à cause des convertisseurs avec un nombre de niveaux plus élevé, elle devient trop
encombrante pour l'exécution en temps réel.

134
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

La figure. IV.24 illustre le schéma synoptique final de la commande neuro-floue directe du


couple avec la modulation vectorielle (DTNFC-SVM) d’une machine asynchrone alimentée
par un onduleur multi-niveaux.

Figure VI.24 : Schéma synoptique de la commande neuro-floue directe du couple avec la


modulation vectorielle pour une machine asynchrone alimentée par un onduleur
multi-niveaux.

135
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.15 Résultats de simulation (DTC neuro-flou) :


Vsa [V]

200
Vsa[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsb[V]Vsb [V]

200
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsc[V]Vsc [V]

200
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Le temps [s]

Figure VI.25 : La réponse des tensions d’alimentation Vsa, Vsb, Vsc.

1.2 Phis
1 Phis (Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0
0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Le Temps [s]

Figure VI.26 : La trajectoire du flux Figure VI.27 : La réponse du


statorique dans le plan référentiel (α, β). module du flux statorique.

136
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

20
120 Wr
15 Wr(Ref)

100
10
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
5 80 102

100
0
60
98
-5 0.5 0.52
40
-10

20
-15

-20 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Le temps [s] Le temps [s]

Figure VI.28 : La réponse du Figure VI.29 : La réponse de la


courant statorique. vitesse de rotation.

200 16
Ce
14 Ce(Ref)
150
Le Couple Electromagnétique [N.m]

12
100
10
50
Vs(Axe Beta) [V]

8 +0.2

0
6
-50
4

-100
2
-0.2
-150 0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Temps [s]

Figure VI.30: La trajectoire des tensions Figure VI.31: La réponse du


d’alimentation dans le plan référentiel (α, β). couple électromagnétique.

137
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.16 Interprétation des résultats:

La figure (VI-25) montre la réponse des tensions statoriques qui sont fournies par le
contrôleur de la DTC par la technique de neuro-flou.

La figure (VI-26), illustre la trajectoire du vecteur flux qui prend une forme parfaitement
circulaire sur le plan biphasé (α, β).

Dans la figure (figure VI-27), c’est la présentation de la réponse du flux statorique où elle est
très rapide par rapport à la réponse du couple dans le régime transitoire, après, au régime
permanent, il se stabilise vers sa valeur souhaitée 01 [Wb] avec une erreur statique presque
négligeable.

La figure (VI-31), montre la réponse rapide du couple avec une réduction d’ondulations
(𝑀𝑎 ± . par rapport que celle de la DTC à multi-niveaux flou (𝑀𝑎 ± . (figure V-
23), avec une forme du courant statorique produit qui prend une forme parfaitement
sinusoïdale avec un pic de démarrage égale 20 [A], ce qui est indiqué sur la figure (VI-28).

La figure (VI-29), illustre la réponse de la vitesse de rotation du moteur, donc elle est restée
sur la même signification par rapport à sa réponse donnée par la commande DTC à multi-
niveaux floue, soit dans sa rapidité ou bien dans sa robustesse.

La figure (VI-30), montre la trajectoire de tensions statoriques sur le plan biphasé (α, β), cette
projection nous montre d’une façon précise les vecteurs de tensions sélectionnées par le
contrôleur de DTC avec la technique de neuro-flou.

À la lumière de ces résultats obtenus, on peut remarquer que les performances du système,
commandé par un contrôleur neuro-flou, sont relativement meilleures par rapport avec
l’utilisation que les réseaux de neurones artificiels ou que la logique floue avec d’une façon
séparée, car cette technique offre une propriété qui collecte les avantages de ces techniques
citées, soit dans l’amélioration dans les réponses des grandeurs principales de la commande
(minimisation des ondulations du couple électromagnétique) ou bien dans sa qualité de
l’apprentissage qui est très précise et très efficace (la qualité obtenue sur la trajectoire de
tension statorique dans le plan (α,β)).

Il faut noter que la difficulté trouvée pour assurer la convergence de l’apprentissage dans cette
technique, il n’ya pas une méthodologie exacte sur le choix des paramètres constitués du
régulateur neuro-flou (le choix de la topologie optimale, détermine le nombre convenable des
fonctions d’appartenance dans chaque neurone d’entrée, le choix exacte des formes des
fonctions d’appartenances, la méthode d’apprentissage utilisée), ainsi obligatoirement ce
régulateur n’a qu’un seul neurone dans sa couche de sortie.

138
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

VI.17 Résultats de simulation (DTC neuro-flou-SVM) :


Vsa [V]

200
Vsa[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsb [V]

200
Vsb[V]
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Vsc[V]Vsc [V]

200
La Tension

-200
0.65 0.7 0.75 0.8 0.85
Le temps [s]

Figure VI.32 : La réponse des Tensions d’alimentation Vsa, Vsb, Vsc.

1.2
Phis
1 Phis(Ref)
1

0.5
Flux(Axe Beta) [Wb]

0.8
Le Flux [Wb]

0
0.6

-0.5 0.4

0.2
-1

0
-1 -0.5 0 0.5 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Temps [s]

Figure VI.33: La trajectoire de Flux Figure VI.34 : La réponse de


Statorique dans le plan référentiel (α, β). Module de Flux Statorique.

139
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

20
120 Wr
15 Wr(Ref)

100
10
Le Courant Statorique [A]

La Vitesse Wr [Rad/s]
5 80 101

100
0
60 99

-5 0.5 0.5050.51
40
-10

-15 20

-20 0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Temps [s] Temps [s]

Figure VI.35 : La réponse de Figure VI.36 : la réponse de la


courant statorique vitesse de rotation

200 16
Ce
150 14 Ce(Ref)

12
Le Couple Electromagnétique [N.m]

100

10
Vs(Axe Beta) [V]

50
8
+12
+0.12
0
6
-50
4

-100 -0.12
-12
2

-150 0

-200 -2
-250 -200 -150 -100 -50 0 50 100 150 200 250 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Vs(Axe Alpha) [V] Temps [s]

Figure VI.37: La trajectoire des tensions Figure VI.38: La réponse de


d’alimentation dans le plan référentiel (α, β). couple électromagnétique.

140
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

V.18 Interprétation des résultats:

La figure (VI-32) montre la réponse des tensions statoriques qui sont fournies par le
contrôleur de la DTC par la technique de neuro-floue avec la technique de modulation
vectorielle (SVM).

La figure (VI-33) illustre la trajectoire du vecteur flux qui est prend une forme totalement
circulaire sur le plan biphasé (α, β).

Dans la figure (figure VI-34), montre une réponse améliorée par des minimisations
considérables au niveau des ondulations dans le flux statorique, ainsi, dans le régime
transitoire, sa réponse est très rapide par rapport à la réponse du couple. Après, au régime
permanent, il se stabilise vers sa valeur désirée 01 [Wb].

La figure (VI-31) montre une réponse rapide avec une grande amélioration du couple par des
réductions considérables au niveau de ses ondulations (𝑀𝑎 ± . si on comparant avec
celles de la DTC à multi-niveaux avec le régulateur neuro-flou seulement (𝑀𝑎 ± . (figure
VI-38), avec une forme du courant statorique obtenu qui prend une forme parfaitement
sinusoïdale avec un pic de démarrage égale 15 [A], ce qui est montré sur la figure (VI-35).

La figure (VI-36) illustre la réponse de la vitesse de rotation du moteur, elle a conservé à la


même réponse par rapport à qu’elle est donnée par la commande DTC neuro-flou à multi-
niveaux, dans son temps de réponse ou bien dans sa robustesse.

La figure (VI-37) montre la trajectoire des tensions statoriques sur le plan biphasé (α, β), cette
projection nous montre d’une façon précise les vecteurs des tensions sélectionnées par le
contrôleur DTC avec la technique neuro-flou-SVM. Cette trajectoire n’est pas identique que
celles obtenues dans les méthodes citées précédemment, car elle n’obéie pas par les données
du tableaux des commutations, cependant, elle est pilotée par la tension de référence obtenue
par le régulateur neuro-flou , et elle est introduite dans le block du calculateur de modalisation
vectorielle pour avoir la tension d’alimentation.

À partir de ces résultats obtenus, on peut noter que les performances du système, commandé
par un contrôleur neuro-flou-SVM, sont carrément améliorées que ce soit le type de ses
natures (électrique (tensions d’alimentations, le courants statorique), mécanique (le couple
électromagnétique), magnétique (le flux statorique)).

L’avantage d’utiliser cette technique, qu’il n’ya pas un apprentissage limité par des données
dans ce genre de régulateur neuro-flou, ainsi, l’association de la technique de modulation
vectorielle (SVM) assure que la fréquence de commutation soit constante grâce à l’utilisation
des temps de commutations dans des intervalles régulières.

141
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

IV.19 Conclusion:

On a présenté dans ce chapitre la progression des performances de la commande directe du


couple de la machine asynchrone alimentée par un onduleur à multi-niveaux avec l’utilisation
de la technique de commande neuro-flou par deux méthodes proposées.

Les résultats de simulation ont montré que l’application de la commande neuro-floue dans le
premier approche, donne une amélioration relative au niveau du couple par rapport à sa
réponse par les méthodes de la DTC assistées par d’autres techniques intelligentes (les
réseaux de neurones artificiels et la logique floue), ainsi cette méthode offre un apprentissage
supervisé en mode (Off-line) rapide.

L’intérêt d’application de la commande neuro-floue avec l’intégration de la modulation


vectorielle dans la commande DTC à multi-niveaux, est de permettre de progresser d’une
façon remarquable les réponses de toutes les grandeurs dans le régime permanent. Ainsi cette
technique, a un apprentissage automatique et assure des fréquences des commutations
constantes, car les instants de commutation sont pré-calculées.

142
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

Bibliographie
[1] A.M. Ibrahim,‘Fuzzy Logic for Embedded Systems Applications’, Newnes, 2004.
[2] D.Nauck,R.Kruse,‘Neuro-Fuzzy Systems for Function Approximation, Fuzzy Sets and
Systems’, pp. 261-271.1999.
[3] R.Daniel,’Contribution à la surveillance des systèmes de production en utilisant les
techniques de l’intelligence artificielle’. Rapport d’habilitation à diriger des
recherches, université de Franche-Comté de Besançon, 2006.
[4] D.Ould Abdeslam ,’Techniques neuromimétiques pour la commande dans les
systèmes électriques : application au filtrage actif parallèle dans les réseaux
électriques basse tension’,Thèse de Doctorat, université de Haute-Alsace.
France.Décembre 2005.
[5] S.Varkiani,‘Application des réseaux neuronaux flous à l'identification et la protection
d'un transformateur triphasé’. Mémoire de maîtrise, Université du Québec à
Chicoutimi.1998.
[6] M.Mordjaoui,‘Modélisations Des Effets Électromagnétiques’, « Apport de la logique
floue et neuro-floue », thèse doctorat en sciences université de batna ,2008.
[7] D.Ould Abdeslam,‘Utilisation des Réseaux Neuro-Flous pour le Pronostic et le
Diagnostic : Application `a la Classification’. Mémoire de D.E.A. Université de
Franche-Comté.2002.
[8] R.Mahadoui,’Diagnostic industriel par neuro-flou application à un système de
production’, thèse de magister en génie industriel, université batna2007.
[9] J.S.R.Jang,’Anfis: Adaptive-network based fuzzy inference system, IEEE Transactions
on systems, Man, and Cybernetics’,Vol.23 pp. 665-685. 1993.
[10] Y.Tsukamoto,’An approach to fuzzy reasoning method in M.Gupta, R.K. Ragade, and
R. R. Yager (Eds), Advances in Fuzzy Set Theory and Applications’, Amsterdam, pp,
137-149. 1979.
[11] Y.Miloud,‘Commande Neuro-floue d’une Machine Asynchrone’, Mémoire de
Magister,Université des sciences et de la technologie Mohamed Boudiaf d’Oran
USTO 2002.
[12] M.Zerikat,M.Bendjebbar,N.Benouzza,‘Dynamic Fuzzy-Neural Network Controller for
induction motor drive’, IEEE Transactions on Engineering,Computing and
Technology, World Enformatika Society, Vol. 12, No10,pp.278-283, December,2005.
[13] L.Cheng-Jian,L.Chi-Yung and C.Cheng-Chung ,‘Temperature control using neuro-
fuzzy controllers with compensatory operations and wavelet neural networks .
Journal of Intelligent Fuzzy Systems’, pp. 145-157, (2006).
[14] Y-Q.Zhang and A.Kandel,’Compensatory neuro-fuzzy systems with fast learning
algorithms’, IEEE Transaction on Neural Networks, pp.83-105, (1998).
[15] M.L.Zegai,M.Bendjebbar,K.Belhadri,F.Lakhdari,‘Adaptive Neuro-Fuzzy Speed
Regulator Applied in Direct Torque Control for Induction Motor Drive Using
Multilevel Inverter’, International Review of Automatic Control (I.RE.A.CO.), Vol. 9,
N. 4 , ISSN 1974-6059, July 2016.
[16] P.Z.Grabowski,M.P.Kazmierkowski,B.K.Bose, F.Blaabjerg,‘A Simple Direct-Torque
Neuro-Fuzzy Control of PWM-Inverter-Fed Induction Motor Drive’, Industrial
Electronics, IEEE Transactions on Vol.47, Issue 4, Aug 2000, pp:863 – 870.
[17] M.P. Kazmierkowski,‘Control Strategies for PWM Rectifier/Inverter-Fed Induction
Motors’, Industrial Electronics. ISIE. Proceedings of the 2000 IEEE International
Symposium on. Issue , 2000 Page(s):TU15 - TU23 vol.1.

143
Chapitre VI : Commande Directe du Couple Appliquée sur un Onduleur
Multi-Niveaux par Neuro-Flou et Neuro-Flou basée sur la SVM.

[18] A.Miloudi,Eid.A.al-radadi,A.D.Draou,‘A Variable Gain PI Controller Used for Speed


Control of a Direct Torque Neuro Fuzzy Controlled Induction Machine Drive’ ,Turk J
Elec Engin, VOL.15, NO.1 2007, TUBITAK.
[19] P.Filipek,‘Neuro-Fuzzy Control of Inverter-Fed Induction Motor Drives’,
thesis. Lublin University of Technology Poland , 2001.
[20] A.Miloudi,’Etude Et Conception De Régulateurs Robustes Dans Différentes
Stratégies De Commandes D’un Moteur Asynchrone’, thèse Doctorat Es-Science
Université Oran, Juin 2006.
[21] P.Z.Grabowski, B.K.Bose ,F.Blaabjerg,‘A Simple Direct Torque Neuro Fuzzy Control
of PWM Inverter Fed Induction Motor Drive’, IEEE Trans. Ind. Electron., Vol. 47,
No. 4, pp. 863-870, August 2000.
[22] B.K.Bose,R.P.Nitin,R.Kaushik,‘A Neuro–Fuzzy-Based On-Line Efficiency
Optimization Control of a Stator Flux-Oriented Direct Vector Controlled Induction
Motor Drive’, IEEE Trans. Ind. Vol. 44, N°. 2, Avril 1997.
[23] A.F.Aïmer,Y.Ouali,‘Contribution à l’Amélioration de la Commande Directe du
Couple de la Machine Asynchrone : Utilisation de la MLI Vectorielle’, Mémoire
d’Ingénieur, Centre Universitaire Dr M.Tahar, Saïda, Algérie, 2006.
[24] H.Gheraia,E.M.Berkouk,G. Manesse,‘Modelling and Control of a Seven Level NPC
Voltage Source Inverter, Application to High Power Induction Machine Drive’, The
European Phsical Journal, AP 15, pp. 105- 115, 2001.
[25] Q.Song,W.Liu,G.Yan,Y.Chen,‘DSP-Based universal space vector modulator for
multilevel voltage-source inverter’, 0-7803-7906-3/03/2003 ,IEEE.
[26] H.Zhang,A.V.Jouanne,S.Dai,A.K.Wallace,F.Wang,‘Multilevel inverter modulation
schemes to eliminate common-mode voltages’, IEEE Transactions on industry
applications, VOL.36, NO.6, November/december,2000.

144
Etude comparative des méthodes
proposées
Etude comparative des méthodes proposées

Les outils
Les utilisés
Correcteurs Secteurs Onduleur Résultats de simulation
stratégies
de commande DTC
 Couple électromagnétique
DTC Classique
CFLX-2N
06 OND-2N  Flux statorique
CCPL-3N  Courant statorique

 Couple électromagnétique
DTC Multi-niveaux
CFLX-3N
12 OND-3N  Flux statorique
CCPL-5N  Courant statorique

 Couple électromagnétique
DTC Multi-niveaux CFLX-3N
12 OND-3N  Flux statorique
Neuronale CCPL-5N  Courant statorique

 Couple électromagnétique
DTC Multi-niveaux --------------
12 OND-3N  Flux statorique
Floue --------------  Courant statorique

 Couple électromagnétique
DTC Multi-niveaux CFLX-3N
12 OND-3N  Flux statorique
Neuro-Flou CCPL-5N  Courant statorique

 Couple électromagnétique
Selon les
DTC Multi-niveaux
-------------- angles OND-3N  Flux statorique
Neuro-Flou-SVM  Courant statorique
θ et δ

CFLX : Correcteur du flux


Mauvais, Passable, Bien, Très bien.
CCPL : Correcteur du couple

Tableau VI.4: Tableau d'étude comparative entre les méthodes proposées.

145
Etude comparative des méthodes proposées

***Etude comparative entre les méthodes proposées***

La DTC Classique
Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

14
Ce 6
12 Ce(Ref) 1
Le Couple Electromagnétique [N.m]

4
10

Le Courant Statorique [A]


0.5

Flux(Axe Beta) [Wb]


2
8

6 0 0

4 -2
-0.5
2
-4

0 -1
-6

-2 0.4 0.45 0.5 0.55 0.6


0 0.2 0.4 0.6 0.8 1 -1 -0.5 0 0.5 1
Flux(Axe Alpha) [Wb] Temps [s]
Temps [s]

La DTC à multi-niveaux
Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

16
Ce
6
14 Ce(Ref)
1

12 4
Le Couple Electromagnétique [N.m]

10
0.5 Le Courant Statorique [A]
Flux(Axe Beta) [Wb]

8
0 0
6

-2
4 -0.5

2 -4

0
-1
-6
-2
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 -1 -0.5 0 0.5 1 0.4 0.45 0.5 0.55 0.6
Temps [s] Flux(Axe Alpha) [Wb] Temps [s]

La DTC à multi-niveaux par les réseaux de neurones :


Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

16
Ce 6
14 Ce(Ref) 1
Le Courant Statorique [A]
Le Couple Electromagnétique [N.m]

12 4
0.5
10 2
Flux(Axe Beta) [Wb]

8
0 0
6
-2
4 -0.5

2 -4
-1
0 -6
-2 0.4 0.45 0.5 0.55 0.6
0 0.2 0.4 0.6 0.8 1 -1 -0.5 0 0.5 1
Temps [s] Flux(Axe Alpha) [Wb] Temps [s]

146
Etude comparative des méthodes proposées

La DTC à multi-niveaux par la logique floue :


Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

16
Ce 6
14 Ce(Ref) 1
Le Couple Electromagnétique [N.m]

12 4

Le Courant Statorique [A]


0.5
10

Flux(Axe Beta) [Wb]


2

8
0 0
6
-2
4 -0.5

2 -4
-1
0
-6
-2
0 0.2 0.4 0.6 0.8 1 -1 -0.5 0 0.5 1 0.4 0.45 0.5 0.55 0.6
Temps [s] Flux(Axe Alpha) [Wb] temps [s]

La DTC à multi-niveaux par neuro-flou :


Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

16
Ce 6
14 Ce(Ref) 1

4
Le Couple Electromagnétique [N.m]

12

Le Courant Statorique [A]


0.5
2
Flux(Axe Beta) [Wb]

10

8
0 0
6
-2
4 -0.5

2
-4
-1
0 -6

-2 -1 -0.5 0 0.5 1 0.4 0.45 0.5 0.55 0.6


0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Flux(Axe Alpha) [Wb] Temps [s]
Temps [s]

La DTC à multi-niveaux par neuro-flou-SVM :


Le couple électromagnétique La trajectoire du flux statorique Le courant statorique

16
Ce 6
14 Ce(Ref)
1

12 4
Le Couple Electromagnétique [N.m]

Le Courant Statorique [A]

0.5
10
Flux(Axe Beta) [Wb]

8
0 0
6

-2
4 -0.5

2 -4

-1
0
-6

-2
0 0.2 0.4 0.6 0.8 1 -1 -0.5 0 0.5 1 0.4 0.45 0.5 0.55 0.6
Temps [s] Flux(Axe Alpha) [Wb] Temps [s]

147
Conclusion Générale
Conclusion générale

Le travail présenté dans le cadre de cette thèse apporté sur la commande directe du couple
appliquée sur la machine asynchrone pilotée par un onduleur multi-niveaux avec l’utilisation
des techniques intelligentes.
Même cette commande est connue chez les chercheurs d’électrotechnique par sa robustesse
contre les variations paramétriques du moteur asynchrone, ainsi, elle ne nécessite pas
obligatoirement d’un capteur de vitesse, ainsi, elle offre un certain nombre d’avantages
considérables représentés dans la simplicité de structure de son schéma synoptique de la
commande et le temps de réponse des grandeurs qui est très rapide par nature.
Cependant, cette stratégie de la commande a des inconvénients représentées dans l’instabilité
des fréquences de la commutation, et les ondulations considérables aux niveaux du flux
statorique et dans le couple électromagnétique, où cette conséquence influe des vibrations sur
le moteur, elle peut causer des défauts au niveau de ses parties mécaniques, également créer
des perturbations dans le processus qui compose le système.
L’idée de base dans ce travail était de trouver des solutions pour réduire les effets de ces
inconvénients d’une façon plus optimiste, par des propositions de faire un changement dans la
philosophie du noyau de l’algorithme de commutation, avec des modifications nécessaires sur
la commande basique de la DTC pour adapter au pilotage d’onduleur multi-niveaux, ensuite
on a appliqué sur le nouveau algorithme obtenu les techniques intelligentes.
Pour cela, tout d’abord, on a construit le modèle mathématique de la machine asynchrone
avec tous les éléments qui composent son alimentation (redresseur, filtre et l’onduleur), puis
on a appliqué MLI vectorielle sur le système pour tester la validité du modèle qui sera utilisé.
Ensuite, on a montré le principe de la commande directe du couple appliquée sur la machine
asynchrone d’une façon plus détaillée qui sert sur le comportement du flux et du couple, après
on a démontré la logique de raisonnement pour établir la table de la commutation de
‘Takahashi’ après on a amélioré cette commande par l’utilisation l’onduleur à multi-niveaux.
Enfin, nous avons cité les principes de base et les théories des méthodes de l’intelligence
artificielle (réseaux de neurones artificiels, la logique floue, systèmes neuro-flous). Puis on a
appliqué ces différentes techniques sur la commande directe du couple, en remplace la table
de vérité de l’algorithme qui est constitué les informations de différents états de commutation
de la DTC à multi-niveaux par un table de commutation neuronal, basé sur la logique floue,
avec la technique de neuro-flou et à l’aide de la méthode de neuro-flou avec la technique MLI
vectorielle destinée à commander les onduleurs à multi-niveaux .
Chaque technique proposée dans cette étude à des spécifiques influences aux niveaux les
mesures des grandeurs obtenues, surtout dans le flux statorique et dans le couple
électromagnétique, qui sont généralement améliorées par rapport à des méthodes classiques.

148
Conclusion générale

Finalement, nous recommandons comme perspectives des recherches sur cette commande, où
plusieurs peuvent être apportées à ce travail, à savoir :
 une réalisation pratique de ces méthodes proposées basée sur les cartes de DSpace ou
bien des cartes à la base de FPGA et VHDL.
 Augmentation les niveaux des onduleurs utilisés et insertion des convertisseurs
matriciels à l’aide des techniques intelligentes dans cette commande.
 Faire cette commande avec des commandes prédictives en utilisant des observateurs-
estimateurs robustes permettant l’estimation de vitesse rotorique de la MAS (filtre du
Kalman étendu, la technique de model de référence du système (Mras), les techniques
intelligentes).
 Amélioration cette stratégie de commande par des techniques d’optimisation utiles
comme, les algorithmes génétiques ((GA) ‘Genetic Algorithms’), l’optimisation par
essaim particulaire ((PSO) ‘Particular Search Optimization’) et l’optimisation par la
méthode de loup gris ((GWO) ‘Grey Wolf Optimizer’).
 Intégration de cette commande dans les applications des énergies renouvelables.
 Application cette technique dans les systèmes de traction électriques modernes
(véhicules, les locomotives, les trams …….etc).

149
ANNEXES
ANNEXES

Annexe - A

PARAMETRES DE LA MACHINE ASYNCHRONE

I.1- Grandeurs nominales :

Type ASYNCHRONE 2 hp
Puissance nominale (Pn) 1.5 kW
Vitesse nominale (Ωn) 1420 tr/min
Tension nominale (Un) 220/380 V
Courant nominale (In) 6.4/3.7 A
Fréquence nominale (fn) 50 Hz

I.2- Paramètres de la machine :

Nombre de paires des pôles 02


Résistance statorique (Rs) 4.85 Ω
Résistance rotorique (Rr) 3.805 Ω
Inductance cyclique au stator (Ls) 274 mH
Inductance cyclique au rotor (Lr) 274 mH
Inductance mutuelle (Msr=Mrs=M) 258 mH
Moment d’inertie 0.031 Kg.m2
Coefficient de frottement visqueux 0.00114 N.m.s / rad

150
ANNEXES

Annexe – B
Régulateur de vitesse :

Le régulateur de vitesse permet de déterminer le couple de référence, afin de maintenir la


vitesse correspondante peut être contrôlée au moyen d’un régulateur PI dont les paramètres
peuvent être calculés à partir de la figure ci-dessous ;

𝜔∗ 𝑖 𝐶𝑒𝑚 𝑃 𝜔
𝑝+
𝑆 𝑓𝑐 + . 𝑠

Où :

𝜔∗ : La vitesse de référence ;
Kp : Le gain proportionnel = 2 ;
Ki : Le gain intégral = 0.6 ;
P : Nombre de paire du pole = 2 ;
𝐶𝑒𝑚 : Le couple de référence ;

𝑓𝑐 : Coefficient de frottement visqueux ;


: Moment d’inertie.

151
ANNEXES

Annexe – C
1 x p p{1} a{1}

x{1} Process Input 1 Layer 1 a{1}

a{1} a{2}

a{1} Layer 2 a{2}

a{2} a{3} ay 1
a{2} Layer 3 Process Output 1 y{1}

La structure interne de régulateur neuronale de la DTC à Multi-niveaux.


1 TDL weight
p{1} Delays 1 IW{1,1} 1

bias netsum logsig a{1}

b{1}
La première couche cachée.
1 TDL weight
a{1} Delays 1 LW{2,1} 1

bias netsum tansig a{2}

b{2}

La deuxième couche cachée.


1 TDL weight
a{2} Delays 1 LW{3,2} 1

bias netsum purelin a{3}

b{3}

La couche de sortie.

Les neurones artificiels qui constituent la première couche cachée (a) et la deuxième couche
cachée (b).
we i g h ts w we i g h t s w
z
z I W{2 , 1 }(1 , : )' p
IW{1 ,1 }(1 ,:)' p
d o t p ro d 1
d o tp ro d 1
we i g h t s w
z
we i g h ts w I W{2 , 1 }(2 , : )' p
z
IW{1 ,1 }(2 ,:)' p d o t p ro d 2

d o tp ro d 2 we i g h t s w
z
I W{2 , 1 }(3 , : )' p
we i g h ts w
z d o t p ro d 3
IW{1 ,1 }(3 ,:)' p we i g h t s w
z
d o tp ro d 3 I W{2 , 1 }(4 , : )' p

we i g h ts w d o t p ro d 4
z we i g h t s w
IW{1 ,1 }(4 ,:)' p z
I W{2 , 1 }(5 , : )' p
d o tp ro d 4
d o t p ro d 5
we i g h ts w we i g h t s w
z z
IW{1 ,1 }(5 ,:)' p I W{2 , 1 }(6 , : )' p

d o tp ro d 5 d o t p ro d 6

we i g h t s w
we i g h ts w z
z I W{2 , 1 }(7 , : )' p
IW{1 ,1 }(6 ,:)' p d o t p ro d 7
d o tp ro d 6 we i g h t s w
z
we i g h ts w I W{2 , 1 }(8 , : )' p
z d o t p ro d 8
IW{1 ,1 }(7 ,:)' p
we i g h t s w
d o tp ro d 7 z
I W{2 , 1 }(9 , : )' p
we i g h ts w d o t p ro d 9
z
IW{1 ,1 }(8 ,:)' p we i g h t s w
z
d o tp ro d 8 I W{2 , 1 }(1 0 , : )' p
d o t p ro d 1 0
we i g h ts w
z we i g h t s w
IW{1 ,1 }(9 ,:)' p z
I W{2 , 1 }(1 1 , : )' p
d o tp ro d 9 d o t p ro d 1 1

we i g h ts w we i g h t s w
z z
I W{2 , 1 }(1 2 , : )' p
IW{1 ,1 }(1 0 ,:)' p
d o t p ro d 1 2
d o tp ro d 1 0
we i g h t s w
z
we i g h ts w I W{2 , 1 }(1 3 , : )' p
z
IW{1 ,1 }(1 1 ,:)' p d o t p ro d 1 3

d o tp ro d 1 1 we i g h t s w
z
I W{2 , 1 }(1 4 , : )' p
we i g h ts w
z d o t p ro d 1 4
IW{1 ,1 }(1 2 ,:)' p
we i g h t s w
z
d o tp ro d 1 2 I W{2 , 1 }(1 5 , : )' p

we i g h ts w d o t p ro d 1 5
z
IW{1 ,1 }(1 3 ,:)' we i g h t s w
p z
I W{2 , 1 }(1 6 , : )' p
d o tp ro d 1 3
d o t p ro d 1 6
we i g h ts w we i g h t s w
z z
IW{1 ,1 }(1 4 ,:)' p I W{2 , 1 }(1 7 , : )' p

d o tp ro d 1 4 d o t p ro d 1 7

we i g h t s w
we i g h ts w z
z I W{2 , 1 }(1 8 , : )' p
IW{1 ,1 }(1 5 ,:)' p
d o t p ro d 1 8
d o tp ro d 1 5 we i g h t s w
z
we i g h ts w I W{2 , 1 }(1 9 , : )' p
z d o t p ro d 1 9
IW{1 ,1 }(1 6 ,:)' p
we i g h t s w
d o tp ro d 1 6 z
I W{2 , 1 }(2 0 , : )' p
we i g h ts w d o t p ro d 2 0
z
IW{1 ,1 }(1 7 ,:)' p we i g h t s w
z
d o tp ro d 1 7 I W{2 , 1 }(2 1 , : )' p
d o t p ro d 2 1
we i g h ts w
z we i g h t s w
IW{1 ,1 }(1 8 ,:)' p z
I W{2 , 1 }(2 2 , : )' p
d o tp ro d 1 8 d o t p ro d 2 2

we i g h ts w we i g h t s w
z z
IW{1 ,1 }(1 9 ,:)' I W{2 , 1 }(2 3 , : )' p
p
d o t p ro d 2 3
d o tp ro d 1 9
we i g h t s w
we i g h ts w z
I W{2 , 1 }(2 4 , : )' p
z
IW{1 ,1 }(2 0 ,:)' p d o t p ro d 2 4

d o tp ro d 2 0 we i g h t s w
z
I W{2 , 1 }(2 5 , : )' p
we i g h ts w
z d o t p ro d 2 5
IW{1 ,1 }(2 1 ,:)' p
we i g h t s w
d o tp ro d 2 1 z
I W{2 , 1 }(2 6 , : )' p
we i g h ts w d o t p ro d 2 6
z
IW{1 ,1 }(2 2 ,:)' p we i g h t s w
z
I W{2 , 1 }(2 7 , : )' p
d o tp ro d 2 2
d o t p ro d 2 7
we i g h ts w
we i g h t s w
z z
1 IW{1 ,1 }(2 3 ,:)' p M ux 1 1 I W{2 , 1 }(2 8 , : )' p M ux 1

p d {1 ,1 } d o tp ro d 2 3 i z{1 ,1 } a d {2 , 1 } d o t p ro d 2 8 l z{2 , 1 }

we i g h t s w
we i g h ts w z
z I W{2 , 1 }(2 9 , : )' p
IW{1 ,1 }(2 4 ,:)' p
d o t p ro d 2 9
d o tp ro d 2 4
we i g h t s w
z
we i g h ts w I W{2 , 1 }(3 0 , : )' p
z
IW{1 ,1 }(2 5 ,:)' p M ux d o t p ro d 3 0
M ux
we i g h t s w
d o tp ro d 2 5 z
I W{2 , 1 }(3 1 , : )' p
we i g h ts w
d o t p ro d 3 1
z
IW{1 ,1 }(2 6 ,:)' p we i g h t s w
z
d o tp ro d 2 6 I W{2 , 1 }(3 2 , : )' p
d o t p ro d 3 2
we i g h ts w
z we i g h t s w
IW{1 ,1 }(2 7 ,:)' p z
I W{2 , 1 }(3 3 , : )' p
d o tp ro d 2 7 d o t p ro d 3 3
we i g h ts w we i g h t s w
z z
IW{1 ,1 }(2 8 ,:)' p I W{2 , 1 }(3 4 , : )' p
d o t p ro d 3 4
d o tp ro d 2 8
we i g h t s w
we i g h ts w z
I W{2 , 1 }(3 5 , : )' p
z
IW{1 ,1 }(2 9 ,:)' p d o t p ro d 3 5

d o tp ro d 2 9 we i g h t s w
z
I W{2 , 1 }(3 6 , : )' p
we i g h ts w
z d o t p ro d 3 6
IW{1 ,1 }(3 0 ,:)' p
we i g h t s w
d o tp ro d 3 0 z
I W{2 , 1 }(3 7 , : )' p
we i g h ts w d o t p ro d 3 7
z
IW{1 ,1 }(3 1 ,:)' p we i g h t s w
z
I W{2 , 1 }(3 8 , : )' p
d o tp ro d 3 1
d o t p ro d 3 8
we i g h ts w
z we i g h t s w
IW{1 ,1 }(3 2 ,:)' z
p I W{2 , 1 }(3 9 , : )' p
d o tp ro d 3 2 d o t p ro d 3 9

we i g h ts w we i g h t s w
z
z I W{2 , 1 }(4 0 , : )' p
IW{1 ,1 }(3 3 ,:)' p
d o t p ro d 4 0
d o tp ro d 3 3
we i g h t s w
z
we i g h ts w I W{2 , 1 }(4 1 , : )' p
z
IW{1 ,1 }(3 4 ,:)' p d o t p ro d 4 1

we i g h t s w
d o tp ro d 3 4 z
I W{2 , 1 }(4 2 , : )' p
we i g h ts w
z d o t p ro d 4 2
IW{1 ,1 }(3 5 ,:)' p we i g h t s w
z
d o tp ro d 3 5 I W{2 , 1 }(4 3 , : )' p
d o t p ro d 4 3
we i g h ts w
z we i g h t s w
IW{1 ,1 }(3 6 ,:)' p z
I W{2 , 1 }(4 4 , : )' p
d o tp ro d 3 6
d o t p ro d 4 4
we i g h ts w we i g h t s w
z z
IW{1 ,1 }(3 7 ,:)' p I W{2 , 1 }(4 5 , : )' p
d o t p ro d 4 5
d o tp ro d 3 7
we i g h t s w
we i g h ts w z
z I W{2 , 1 }(4 6 , : )' p
IW{1 ,1 }(3 8 ,:)' p d o t p ro d 4 6
d o tp ro d 3 8 we i g h t s w
z
we i g h ts w I W{2 , 1 }(4 7 , : )' p
z d o t p ro d 4 7
IW{1 ,1 }(3 9 ,:)' p
we i g h t s w
d o tp ro d 3 9 z
I W{2 , 1 }(4 8 , : )' p
we i g h ts w d o t p ro d 4 8
z
IW{1 ,1 }(4 0 ,:)' p we i g h t s w
z
I W{2 , 1 }(4 9 , : )' p
d o tp ro d 4 0
d o t p ro d 4 9
we i g h ts w
z we i g h t s w
IW{1 ,1 }(4 1 ,:)' p z
I W{2 , 1 }(5 0 , : )' p
d o tp ro d 4 1 d o t p ro d 5 0

we i g h ts w we i g h t s w
z
z I W{2 , 1 }(5 1 , : )' p
IW{1 ,1 }(4 2 ,:)' p
d o t p ro d 5 1
d o tp ro d 4 2
we i g h t s w
z
we i g h ts w I W{2 , 1 }(5 2 , : )' p
z
IW{1 ,1 }(4 3 ,:)' p d o t p ro d 5 2

d o tp ro d 4 3 we i g h t s w
z
I W{2 , 1 }(5 3 , : )' p
we i g h ts w
z d o t p ro d 5 3
IW{1 ,1 }(4 4 ,:)' p
we i g h t s w
z
d o tp ro d 4 4 I W{2 , 1 }(5 4 , : )' p

we i g h ts w d o t p ro d 5 4
z we i g h t s w
IW{1 ,1 }(4 5 ,:)' p z
I W{2 , 1 }(5 5 , : )' p
d o tp ro d 4 5

(a) (b)
d o t p ro d 5 5

152

Vous aimerez peut-être aussi